As processes become more complex, and more operations are needed to fabricate individual levels in a sem iconductor chip, the ability to leverage the wealth of information to fully monitor and control the process has become of critica l importance. In this work we extend the application of design-aware fabrication process models to more operations. While one could expect that the process models become more accurate with respect to the target of interest, one of the main benefits of applying this technique is that it further decouples the individual influences that every process step imposes to different designs at different stages of the fabrication process. These results have significant implications on how this methodology can be used to improve process monitoring, a nd in the future extend to process optimization and design specific process control.
KEYWORDS: Metrology, Bridges, Manufacturing, Data modeling, Time metrology, Semiconducting wafers, Reticles, Internet, Design for manufacturability, Data integration
In the world of today’s internet of things economy, the number of semiconductor designs is increasing rapidly. A cost effective way is needed to set up new designs for manufacturing. All available data sources need to be utilized to do the setup. In this paper we suggest two new approaches for reusing historical data for future designs: Combining historical fab-generated data with full reticle design features to predict optimal process conditions, and the concept of cross metrology integration of fab-generated data across multiple metrology steps to improve data quality.
KEYWORDS: Metrology, Semiconducting wafers, Data modeling, Machine learning, Data processing, Etching, Optics manufacturing, Wafer-level optics, Manufacturing, Process control
Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer’s process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.
Numerical simulation of overlay metrology targets has become a de-facto standard in advanced technology nodes. While appropriate simulation software is widely available in the industry alongside with metrics that allow selection of the best performing targets, the model validation tools are less developed. We present an approach of numerical model validation based on the comparison between target simulation results and on-product overlay measurements. A “simulation-tomeasurement” software is used in this work to compare the performance metrics and accuracy flags of scatterometrybased overlay targets designed using KLA-Tencor AcuRate™ simulator for the critical layers of 12nm FD-SOI FEOL stack and 22nm FD-SOI BEOL stack. We demonstrate how simulation-to-measurement matching enabled us to verify the model, identify discrepancies between the model and the product stack and build an improved model that correctly describes the target. The refined target stack was used for image-based overlay target simulations that allowed us to obtain better performing optical overlay targets as well.
After critical lithography steps, overlay and CD are measured to determine if the wafers need to be re-worked. Traditionally, overlay metrics are applied per X/Y-direction and, a CD metric is computed independently. From design standpoint, electrical failure is based on a complex interaction between CD deviations and overlay errors. We propose a method including design constraints, where results of different measurement steps are not judged individually, but in a combined way. We illustrate this with a critical design feature consisting of a contact requiring minimum distance to a neighboring metal line, resulting in much better correlation to yield than traditional methods.
The majority of scatterometric production control models assume constant optical properties of the materials and only dimensional parameters are allowed to vary. However, this assumption, especially in case of thin-metal films, negatively impacts model precision and accuracy. In this work we focus on optical modeling of the TiN metal hardmask for scatterometry applications. Since the dielectric function of TiN exhibits thickness dependence, we had to take this fact into account. Moreover, presence of the highly absorbing films influences extracted thicknesses of dielectric layers underneath the metal films. The later phenomenon is often not reflected by goodness of fit. We show that accurate optical modeling of metal is essential to achieve desired scatterometric model quality for automatic process control in microelectronic production. Presented modeling methodology can be applied to other TiN applications such as diffusion barriers and metal gates as well as for other metals used in microelectronic manufacturing for all technology nodes.
Optical metrology techniques such as ellipsometry and reflectometry are very powerful for routine process monitoring and control in the modern semiconductor manufacturing industry. However, both methods rely on optical modeling therefore, the optical properties of all materials in the stack need to be characterized a priori or determined during characterization. Some processes such as ion implantation and subsequent annealing produce slight variations in material properties within wafer, wafer-to-wafer, and lot-to-lot; such variation can degrade the dimensional measurement accuracy for both unpatterned optical measurements as well as patterned (2D and 3D) scatterometry measurements. These variations can be accounted for if the optical model of the structure under investigation allows one to extract not just dimensional but also material information already residing within the optical spectra. This paper focuses on modeling of ion implanted and annealed poly Si stacks typically used in high-k technology. Monitoring of ion implantation is often a blind spot in mass production due to capability issues and other limitations of common methods. Typically, the ion implantation dose can be controlled by research-grade ellipsometers with extended infrared
range. We demonstrate that multi-channel spectroscopic reflectometry can also be used for ion implant monitoring in the mass-production environment. Our findings are applicable across all technology nodes.
In the current paper we are addressing three questions relevant for accuracy: 1. Which target design has the best performance and depicts the behavior of the actual device? 2. Which metrology signal characteristics could help to distinguish between the target asymmetry related overlay shift and the real process related shift? 3. How does uncompensated asymmetry of the reference layer target, generated during after-litho processes, affect the propagation of overlay error through different layers? We are presenting the correlation between simulation data based on the optical properties of the measured stack and KLA-Tencor’s Archer overlay measurements on a 28nm product through several critical layers for those accuracy aspects.
Scatterometry critical dimension (SCD) technology in state of the art semiconductor manufacturing is a well-accepted
and powerful technique to determine profile properties such as critical dimensions, sidewall angles, trench depths as well
as layer thicknesses of microelectronic structures. The amount and combination of information receivable via SCD
measurements makes it, as long as interpreted correctly and incoming process variations especially incoming material
variations are well understood, superior to other measurement techniques such as critical dimension scanning electron
microscopy (CDSEM), transmission electron microscopy (TEM) or atomic force microscopy (AFM). For high
throughput inline process monitoring and feedback SCD models are usually generated for uniform gratings having fixed
pitches representing dense areas of the microelectronic chip design. However, for purposes such as improvement in
process tool matching, wafer uniformity or optical proximity correction (OPC) it is of great value if the measured test
patterns do have different layout properties being representative for other design elements and styles as well.
In this paper a through pitch SCD measurement within the shallow trench isolation (STI) layer on the 28nm node is
presented. This approach allows to interpret, to tune and to monitor process tool behavior for different pattern densities
using only one single specially designed lithography mask. Two different use cases are shown: for varying pitch sizes
either the designed line CD or the designed space CD is kept constant.
General SCD modelling approaches and examples to illustrate the key idea and practical use will be provided.
The Critical Dimension Scanning Electron Microscope (CDSEM) is the traditional workhorse solution for inline process control. Measurements are extracted from top-down images based on secondary electron collection while scanning the specimen. Secondary electrons holding majority of detection yield. These images provide more on the structural information of the specimen surface and less in terms of material contrast. In some cases there is too much structural information in the image which can irritate the measurement, in other cases small but important differences between various material compounds cannot be detected as images are limited by contrast information and resolution of primary scanning beam. Furthermore, accuracy in secondary electron based metrology is limited by charging. To gather the exact required information for certain material compound as needed, a technique, known from material analytic SEM´s has been introduced for inline CDSEM analysis and process control: Low Loss Back Scattered Electron Imaging (LL-BSE). The key at LL-BSE imaging is the collection of only the back scattered electrons (BSE) from outermost specimen surface which undergo the least amount possible of energy loss in the process of image generation following impact of the material by a primary beam. In LL-BSE very good and measurable material distinction and sensitivity, even for very low density material compounds can be achieved. This paper presents new methods for faster process development cycle, at reduced cost, based on LL-BSE mass data mining instead of sending wafers for destructive material analysis.
Metrology measurement and defect inspection steps in routes are more pervasive than many people realize and the number continues to grow. Digging deeper, it turns out that E-beam metrology and defect inspection tools typically occupy the most overall steps and therefore are extremely critical tools for semiconductor development and manufacturing. The Critical Dimension Scanning Electron Microscope (CDSEM) is an E-beam tool responsible for image-based structural metrology measurements while the E-beam review (EBR) and E-beam inspection (EBI) tools are responsible for defect inspection. The CDSEM faces significant future challenges in a world where device architectures are changing (as with the FinFET device), increasing the need for more structural measurement parameters such as sidewall angle, height and undercut, than the CDSEM can deliver. These applications are now migrating to scattering-based tools, also referred to as model- or computational-based structural metrology techniques. We explore the history of the CDSEM, the key fundamental limits (primarily resolution) of the CDSEM preventing it from capturing these applications and assess if an image-based structural metrology tool is still needed given the niche that scattering tools are filling. The answer is yes. Next we discuss whether the CDSEM will eventually meet those needs or if other alternative solutions are needed. Lastly, we discuss an industry survey on which image-based technique holds the most promise to solve these challenges. Regarding defect inspection, resolution is also a concern for the applications that need to detect extremely small defects which current optical-based Brightfield tools cannot address. While resolution is a primary concern in structural metrology, current EBI and EBR tools possess the resolution needed for defect inspection and have extendibility through at least the next advanced technology node. We also explore the niche E-beam is filling in defect inspection, evaluate the idea that a better synergistic solution exists today between the CDSEM and the EBI tools and propose a future E-beam landscape where E-beam tool variety in future fabs is significantly reduced to enhance productivity.
KEYWORDS: Metrology, Semiconducting wafers, Critical dimension metrology, Transmission electron microscopy, Etching, Process control, Reactive ion etching, Data modeling, High volume manufacturing
Metrology tools are increasingly challenged by the continuing decrease in the device dimensions, combined with complex disruptive materials and architectures. These demands are not being met appropriately by existing/forthcoming metrology techniques individually. Hybrid Metrology (HM) – the practice to combine measurements from multiple toolset types in order to enable or improve the measurement of one or more critical parameters – is being incorporated by the industry to resolve these challenges. Continuing our previous work we now take the HM from the lab into the fab. This paper presents the first-in-industry implementation of HM within a High Volume Manufacturing (HVM) environment. Advanced 3D applications are the first to use HM: 20nm Contact etch and 14nm FinFET poly etch. The concept and main components of this Phase-1 Host-based implementation are discussed. We show examples of communication protocols/standards that have been specially constructed for HM for sharing data between the metrology tools and fab host in GLOBALFOUNDRIES, as well as the HM recipe setup and HVM results. Finally we discuss our vision and phased progression/roadmap for Phase-2 HM implementation to fully reap the benefits of hybridization.
We explore how photoresist shrinkage behavior due to e-beam measurement by critical dimension-scanning electron microscope (CD-SEM) depends on various time-related factors. This will include an investigation of how the photoresist critical dimension (CD) and CD shrinkage varies with photoresist age and the differences in shrinkage trends between load/unload and static and dynamic repeatability cases, where time between measurements is a key variable. The results for this typical immersion argon flouride photoresist process will show that resist CD and shrinkage variation due to resist age and vacuum-cycling is insignificant, yet the shrinkage is strongly linked to time between consecutive measurements, with a well-defined, high-certainty logarithmic decay with time. These experiments identify a key difference between the shrinkage seen in static versus dynamic measurements, which will be shown to have far-reaching implications for the shrinkage phenomenon in general and for the best-known methods for executing CD-SEM metrology with photoresist samples.
The accelerated pace of the semiconductor industry in recent years is putting a strain on existing dimensional metrology
equipments (such as CDSEM, AFM, Scatterometry) to keep up with ever-increasing metrology challenges. However, a
revolution appears to be forming with the recent advent of Hybrid Metrology (HM) - a practice of combining
measurements from multiple equipment types in order to enable or improve measurement performance. In this paper we
extend our previous work on HM to measure advanced 1X node layers - EUV and Negative Tone Develop (NTD) resist
as well as 3D etch structures such as FinFETs. We study the issue of data quality and matching between toolsets
involved in hybridization, and propose a unique optimization methodology to overcome these effects. We demonstrate
measurement improvement for these advanced structures using HM by verifying the data with reference tools (AFM,
XSEM, TEM). We also study enhanced OCD models for litho structures by modeling Line-edge roughness (LER) and
validate its impact on profile accuracy. Finally, we investigate hybrid calibration of CDSEM to measure in-die resist line
height by Pattern Top Roughness (PTR) methodology.
KEYWORDS: Metrology, Scatterometry, Critical dimension metrology, Semiconducting wafers, Data modeling, Atomic force microscopy, Transmission electron microscopy, Inspection, 3D metrology
Shrinking design rules and reduced process tolerances require tight control of critical dimension (CD) linewidth, feature shape, and profile of the printed geometry. The holistic metrology approach consists of utilizing all available information from different sources such as data from other toolsets, multiple optical channels, multiple targets, etc., to optimize metrology recipe and improve measurement performance. Various in-line CD metrology toolsets such as scatterometry optical CD, CD-SEM, and CD-AFM are typically utilized individually in fabs. Each of these toolsets has its own set of limitations that are intrinsic to specific measurement technique and algorithm. Here we define "hybrid metrology" to be the use of any two or more metrology toolsets in combination to measure the same dataset. We demonstrate the benefits of the hybrid metrology on two test structures: 22-nm-node gate develop inspect and 32-nm-node fin-shaped field effect transistor gate final inspect. We will cover measurement results obtained using typical BKM (nonhybrid, single toolset standard results) as well as those obtained by utilizing the hybrid metrology approach. Measurement performance will be compared using standard metrology metrics; for example, accuracy and precision.
Photoresist shrinkage is an important systematic uncertainty source in critical dimension-scanning electron microscope
(CD-SEM) metrology of lithographic features. In terms of metrology gauge metrics, it influences both the precision and
the accuracy of CD-SEM measurements, while locally damaging the sample. Minimization or elimination of shrinkage is
desirable, yet elusive. Because this error source will furthermore be a factor in CD-SEM metrology on polymer
materials, learning to work around this issue is necessary.
Tool-to-tool matching is another important component of measurement uncertainty that metrologists must control in
high volume manufacturing, and photoresist samples are a most difficult case due to shrinkage effects, as tool-to-tool
biases can vary based on the sample or other parameters. In this work, we explore different shrinkage effects and their
influence on matching. This will include an investigation of how the photoresist shrinkage rate varies with time from the
chemical development of the photoresists, which necessitates that measurements on different tools within a group be
performed in rapid succession to avoid additional error. The differences in shrinkage rates between static and dynamic
load/unload cases will also be addressed, as these effects also influence matching. The results of these dynamic effect
experiments will be shown to have far-reaching implications for the shrinkage phenomenon in general. Finally, various
sampling schemes for matching will be explored, through both simulation and experiment, for use with shrinking
materials. Included is a method whereby various fleet tools measure different locations, once per tool, within a uniform
line/space grating. Finally, we will assess how well matching can be achieved using these techniques.
Shrinking design rules and reduced process tolerances require tight control of CD linewidth, feature shape, and profile of
the printed geometry. The Holistic Metrology approach consists of utilizing all available information from different
sources like data from other toolsets, multiple optical channels, multiple targets, etc. to optimize metrology recipe and
improve measurement performance. Various in-line critical dimension (CD) metrology toolsets like Scatterometry OCD
(Optical CD), CD-SEM (CD Scanning Electron Microscope) and CD-AFM (CD Atomic Force Microscope) are typically
utilized individually in fabs. Each of these toolsets has its own set of limitations that are intrinsic to specific
measurement technique and algorithm. Here we define "Hybrid Metrology" to be the use of any two or more metrology
toolsets in combination to measure the same dataset. We demonstrate the benefits of the Hybrid Metrology on two test
structures: 22nm node Gate Develop Inspect (DI) & 32nm node FinFET Gate Final Inspect (FI). We will cover
measurement results obtained using typical BKM as well as those obtained by utilizing the Hybrid Metrology approach.
Measurement performance will be compared using standard metrology metrics for example accuracy and precision.
Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology
toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be
demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of
the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal
process control, thereby limiting premium part yield, especially on the most aggressive technology nodes.
Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the
performance of the fleet where toolset control chart limits are derived from a stable time period. These
tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts
are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It
is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of
these demanding processes continue to suffer measurement uncertainty issues. This is especially true when
extendibility is expected in a given generation of toolset. With this said, there is a need to continually
improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at
which point new technology needs to be entertained. This paper explores new methods in analyzing
existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to
drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be
analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays
matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all
measurement scenarios happening in product recipes. The analyses presented deal with measurement
uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force
microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the
sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring
strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is
reached regarding how to best drive the much needed improvements. In conclusion, there will be a
discussion on automating these new methods3,4 so they can complement the existing methods to provide a
better method and system for controlling and driving matching improvements in the fleet.
This paper discusses a novel methodology of material characterization that directly utilizes the scatterometry targets on
the product wafer to determine the optical properties (n&k) of various constituent materials. Characterization of optical
constants, or dispersions, is one of the first steps of scatterometry metrology implementation. A significant benefit of
this new technique is faster time-to-solution, since neither multiple single-film depositions nor multi-film depositions on
blanket/product wafers are needed, making obsolete a previously required-but very time-consuming-step in the
scatterometry setup. We present the basic elements of this revolutionary method, describe its functionality as currently
implemented, and contrast/compare results obtained by traditional methods of materials characterization with the new
method. The paper covers scatterometry results from key enabling metrology applications, like high-k metal gate (postetch
and post-litho) and Metal 2 level post-etch, to explore the performance of this new material characterization
approach. CDSEM was used to verify the accuracy of scatterometry solutions. Furthermore, Total Measurement
Uncertainty (TMU) analysis assisted in the interpretation of correlation data, and shows that the new technique provides
measurement accuracy results equivalent to, and sometimes better than, traditional extraction techniques.
New material innovations such as Embedded Silicon Germanium (eSiGe) provide a substantial metrology challenge for
the 45 nm node technology and beyond. We discuss the details of how scatterometry provides in-line metrology solution
to measure key features of the eSiGe structure. Critical features to measure are eSiGe to gate proximity and the un-etched
silicon on insulator (SOI) thickness. The proximity measurement is particularly vital because it has a major
influence on device performance, yet there was no high throughput in-line metrology solution until scatterometry.
Results from multiple scatterometry platforms (three) are presented along with a summary of various metrology
performance metrics like precision and accuracy. We also show how scatterometry measurements have been
instrumental in supporting process development efforts. The comparison of scatterometry measurements to reference
data from multiple metrology techniques is presented in order to evaluate the accuracy performance of various supplier
platforms. Reference metrology techniques used are thin-film measurements from un-patterned targets, transmission
electron microscopy (TEM) and cross-section scanning electron microscopy (XSEM). Tool matching uncertainty
(TMU) analysis and weighted reference measurement system (wRMS) technique have been utilized to assist in the
interpretation of correlation data. Scatterometry results from various wafers that were generated to modulate spacer
width and etch cavity are also presented. The results demonstrate good sensitivity for key measurement features,
especially eSiGe proximity and un-etched SOI thickness, which have very tight process control requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.