Spin-on-carbon (SOC) hard mask is useful for multilayer lithography process because of its high etch resistance, low cost of ownership, low defectivity, high alignment accuracy, good gap filling and planarization for topography. SOC is a high carbon containing polymer solution and as a coating material, the polymers need to be soluble in organic solvent and insoluble after curing for coating upper layer materials. High carbon content (>80%) of SOC is very important for good etch resistance. As the semiconductor industry is moving to 2X nm node and beyond, further improvement of SOC properties mentioned above is required to achieve higher resolution. We synthesized a series of novel monomers and high carbon polymers applicable for SOC applications of advanced nodes. The optimized SOC was a PGMEA based formulation, had high carbon content 90%, excellent filling/leveling properties, and adequate etching properties applicable to trilayer process. The SOC successfully transferred patterns from resist into substrate and the SOC patterns did not show deformation or wiggling down to CD 40nm. This paper describes some of the SOC polymer chemistry and the performance of an optimized SOC formulation.
Since the critical dimensions in integrated circuit (IC) device fabrication continue to shrink below 32 nm, multilayer stacks with alternating etch selectivities are required for successful pattern transfer from the exposed photoresist to the substrate. Inorganic resist underlayer materials are used as hard masks in reactive ion etching (RIE) with oxidative gases. The conventional silicon hardmask has demonstrated good reflectivity control and reasonable etch selectivity. However, some issues such as the rework of trilayer stacks and cleaning of oxide residue by wet chemistry are challenging problems for manufacturability. The present work reveals novel spin-on underlayer materials containing significant amounts of metal oxides in the film after baking at normal processing conditions. Such an inorganic metal hardmask (MHM) has excellent etch selectivity in plasma etch processes of the trilayer stack. The composition has good long term shelf life and pot life stability based on solution LPC analysis and wafer defect studies, respectively. The material absorbs DUV wavelengths and can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity under DUV exposure of photoresist. Some of these metal-containing materials can be used as an underlayer in EUV lithography to significantly enhance photospeed. Specific metal hard masks are also developed for via or trench filling applications in IRT processes. The materials have shown good coating and lithography performance with a film thicknesses as low as 10 nm under ArF dry or immersion conditions. In addition, the metal oxide films or residues can be partially or completely removed by using various wet-etching solutions at ambient temperature.
Trilayer stacks with alternating etch selectivity were developed and extensively investigated
for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer
function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich
underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin
photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many
different behaviors were observed in comparison to conventional BARC. Lithographic
performance, stability, and reworkability were among the most challenging issues for trilayer
scheme.
Despite of the rapid improvement in lithographic performance and stability of trilayer
materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry
remained a challenging problem for manufacturability. The dual function requirement of reflection
control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials.
Si-BARC containing both organic moiety and inorganic backbone were extensively studied and
demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the
revisit of different wet chemistries and process adjustment is essential to achieve desirable results.
In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands
subtle rework differentiation by wet chemistry from a chemistry point of view.
In our development, we strived to identify rework solutions for trilayer materials in both
front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including
diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The
optimization of solution mixture ratios and processing conditions was systematically studied.
Thorough defect inspection after rework was performed to ensure the readiness for
manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were
carried out and excellent results are reported.
The dominant current 193 nm photoresist platform is based on adamantane derivatives. This paper reports on the use of
derivatives of diamantane, the next higher homolog of adamantane, in the diamondoid series, as monomers in
photoresists. Due to their low Ohnishi number and incremental structural parameter (ISP), such molecules are expected
to enhance dry etch stability when incorporated into polymers for resist applications. Starting from the diamantane
parent, cleavable and non-cleavable acrylate/methacrylate derivatives of diamantane were obtained using similar
chemical steps as for adamantane derivatization. This paper reports on the lithographic and etch performance obtained
with a number of diamantane-containing monomers, such as 9-hydroxy-4-diamantyl methacrylate (HDiMA), 2-ethyl-2-
diamantyl methacrylate (EDiMA), and 2-methyl-2-diamantyl methacrylate (MDiMA). The etch advantage, dry and wet
lithographic performance of some of the polymers obtained from these diamantane-containing polymers are discussed.
Improvement of line edge roughness (LER) and line width roughness (LWR) is required for integration of semiconductor
devices. This paper describes various process factors affecting LER/LWR of 193 nm resists such as mask layout (bright
field/dark field), pitches, optical settings, substrates, film thickness, baking temperature and development condition. The
origins of line roughness are discussed in view of aerial image contrast, transmittance of resists and pattern profiles.
Bright field mask exhibited lower LER/LWR values than dark field mask, LER/LWR deteriorated as larger pitches and
illumination condition affected roughness and these results are explained using normalized image log-slope (NILS).
BARC dependence of line roughness is explained by pattern profile difference due to interactions between resist and
BARC and in some cases BARC reflectivity. Contributions of film thickness, SB & PEB temperature and development
condition to line roughness are also reported.
A high performance 193 nm resist has been developed from a novel hybrid copolymer based on a cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) polymer system. A variety of copolymers have been synthesized from t-butyl norbornene carboxylate (BNC), t-butyl tetracyclo[4.4.0.1. 2,617,10] dodec-8-ene-3-carboxylate (TCDBC), t-butoxycarbonylmethyl tetracyclo[4.4.0.1.2,617,10]dodec-8-ene-3-carboxylate (BTCDC), and 5-[2-trifluoromethyl-1,1,1-trifluoro-2-hydroxypropyl]-2-norbornene (F1) and maleic anhydride (MA). The effect of the monomers and the ratio of monomers in the copolymer on lithographic performance studied. This paper will report the chemistry of the polymer platform and relative advantages and disadvantages of having certain monomers in terms of lithographic performance and line edge roughness, and post exposure bake sensitivity.
KEYWORDS: Line edge roughness, Line width roughness, Polymers, Semiconducting wafers, Lithography, Transistors, Diffusion, Photoresist processing, Critical dimension metrology, Control systems
We will give an account of our investigation on structure property relationships of amines with regards to line width roughness (LWR) and line edge roughness (LER) of a 193 nm alicyclic-acrylate resist. Specifically, we have looked at basicity, molar volume and logD as factors which may have an influence of roughness of 80 nm 1:1 L/S features. For relatively hydrophobic amines (Log D > -1), the lower the hydrophilicity at acidic pH the greater the LER and LWR becomes. Specifically, in this range of Log D, more hydrophobic larger amines, with higher basicity, tend to give worse L/S feature roughness. For amines which are more hydrophilic, the relationship becomes more complex with some amines giving a lower LER while others do not. This appears to be predicated on a delicate balance between basicity, hydrophilicy and size.
This paper introduces high performing contact hole resist targeting 65 nm node and below IC applications. Both 80 nm and 100 nm contact hole performance are evaluated under optimized condition by ProlithTM simulations and the advantage of the shrinking technique (RELACSTM) is discussed for 65 nm node. The functionality of 193 nm polymers and the influence of resist components on lithographic performance are described with experimental design. The optimized resist, AZ® AX2050P is versatile in lithographic performance with large process window, excellent resist profile, good contact circularity and sidewall roughness. Its unusual PEB sensitivity property, resist pattern thermal flow behavior and performance with RELACSTM material are also reported. AZ® AXTM2050P has a high resolution combined with a large depth of focus and an iso-dense overlap window with RELACSTM R602 [85 nm CD (NA 0.85) DOF 0.30 μm @ Exposure latitude 8%].
Keeping post exposure bake (PEB) sensitivity low has become one of the most crucial factors for implementing the 193nm resist process into mass production. In a previous report, we have demonstrated that the nature of the photo acid generator (PAG) has a strong effect on the PEB sensitivity of 193 resists. Based on our findings, we decided to extend our studies to the other important resist components, such as polymers prepared with various monomer compositions, and casting solvents. Also, in an effort to investigate whether PEB sensitivity can be reduced by process optimization, the influence of soft bake and post exposure bake conditions was studied. This paper describes our new findings on some of the important factors that affect the PEB sensitivity of 193 resists.
The device design rule is continuously shrinking toward optical resolution limit where k1 factor is below 0.3. The requirement for 193 nm photoresist below 90 nm node is quite challenging at the manufacturing phase. Using DI water rinse after development gives a significant amount of line collapse when the aspect ratio is over 3. To avoid line collapse, we co-developed special rinse solution for FIRM process with Tokyo Electron Ltd. Utilizing FIRM process, 90 nm dense line collapse was measured by CD SEM using focus-exposure matrices. The line collapse property has been observed using experimental 193 nm positive tone resist by varying monomer ratio of the polymer and process conditions. The surface property of the resist was also studied to investigate the interaction with rinse solution at the de-protected polymer region. However, a high surfactant concentration in the DI water rinse leads the swelling of the resist pattern profile. The resist component is the key to determine adequate surfactant concentration in rinse solution to minimize line collapse and pattern deformation
In an effort to develop a production-worthy 193-resist, it is important to understand the critical factors that impacts the CD variation during a routine photo lithographic process. A comprehensive investigation was done on factors affecting PEB sensitivity in ArF resist system. The areas of interest are polymer components, PAGs, bases, and photo lithographic process. In order to understand effects of the PAGs on PEB sensitivity, a number of PAGs possessing different types of cations and anions were investigated. Sulfonium type cations and acids with longer alkyl chains were found to be effective in reducing the PEB sensitivity. Influence of lithography process conditions was also studied on the PEB sensitivity. Increasing the soft bake (SB) temperature and decreasing the post exposure bake (PEB) temperature reduced the PEB sensitivity but mostly at the expense of line-edge roughness (LER). This paper presents our findings of the critical factors affecting PEB sensitivity and describes improved lithographic results of an optimized experimental formulation. In addition, delay effects after coating, soft bake, exposure, and post exposure bake (PEB) were also investigated and these results are included.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.