With the latest immersion scanners performing at the sub-2 nm overlay level, the non-lithography contributors to the OnProduct-Overlay budget become more and more dominant. Examples of these contributors are etching, thin film deposition, Chemical-Mechanical Planarization and thermal anneal. These processes can introduce stress or stress changes in the thin films on top of the silicon wafers, resulting in significant wafer grid distortions. High-order wafer alignment (HOWA) is the current ASML solution for correcting wafers with a high order grid distortion introduced by non-lithographic processes, especially when these distortions vary from wafer-to-wafer. These models are currently successfully applied in high volume production at several semiconductor device manufacturers. An important precondition is that the wafer distortions remain global as the polynomial-based HOWA models become less effective for very local distortions. Wafer-shape based feed forward overlay corrections can be a possible solution to overcome this challenge. Thin film stress typically has an impact on the unclamped, free-form shape of the wafers. When an accurate relationship between the wafer shape and in-plane distortion (IPD) after clamping is established then feedforward overlay control can be enabled. In this work we assess the capability of wafer-shape based IPD predictions via a controlled experiment. The processinduced IPDs are accurately measured on the ASML TWINSCANTM system using its SMASH alignment system and the wafer shapes are measured on the Superfast 4G inspection system. In order to relate the wafer shape to the IPD we have developed a prediction model beyond the standard Stoney approximation. The match between the predicted and measured IPD is excellent (~1-nm), indicating the feasibility of using wafer shape for feed-forward overlay control.
Directed Self Assembly (DSA) has gained increased momentum in recent years as a cost-effective means for extending lithography to sub-30nm pitch, primarily presenting itself as an alternative to mainstream 193i pitch division approaches such as SADP and SAQP. Towards these goals, IMEC has excelled at understanding and implementing directed self-assembly based on PS-b-PMMA block co-polymers (BCPs) using LiNe flow [1]. These efforts increase the understanding of how block copolymers might be implemented as part of HVM compatible DSA integration schemes. In recent contributions, we have proposed and successfully demonstrated two state-of-the-art CMOS process flows which employed DSA based on the PS-b-PMMA, LiNe flow at IMEC (pitch = 28 nm) to form FinFET arrays via both a ‘cut-last’ and ‘cut-first’ approach [2-4]. Therein, we described the relevant film stacks (hard mask and STI stacks) to achieve robust patterning and pattern transfer into IMEC’s FEOL device film stacks. We also described some of the pattern placement and overlay challenges associated with these two strategies. In this contribution, we will present materials and processes for FinFET patterning and integration towards sub-20 nm pitch technology nodes. This presents a noteworthy challenge for DSA using BCPs as the ultimate resolution for PS-b-PMMA may not achieve such dimensions. The emphasis will continue to be towards patterning approaches, wafer alignment strategies, the effects of DSA processing on wafer alignment and overlay.
KEYWORDS: Optical alignment, Etching, Back end of line, Metals, Copper, Double patterning technology, Chemical mechanical planarization, Scanners, Neodymium, Optical lithography
For the 14nm node and beyond there are many integration strategy decisions that need to be made. All of these can have a significant impact on both alignment and overlay capability and need to be carefully considered from this perspective. One example of this is whether a Litho Etch Litho Etch (LELE) or a Self Aligned Double Patterning (SADP) process is chosen. The latter significantly impacting alignment and overlay mark design. In this work we look at overlay performance for a Back End of Line (BEOL) SADP Dual Damascene (DD) process for the 14nm node. We discuss alignment mark design, particularly focusing on the added complexity and issues involved in using such a process, for example design of the marks in the Metal Core and Keep layers and recommend an alignment scheme for such an integration strategy.
For device manufacturing at the 10nm node (N10) and below, EUV lithography is one of the technology options to
achieve the required resolution. Besides high throughput and extreme resolution, excellent wafer CD, overlay and defect control are also required. In this paper, we discuss two wafer CD uniformity issues, the effect of the reticle black border and photon shot noise. The readiness of EUV lithography for N10 will be discussed by showing on-product imaging and overlay performance of a self aligned via layer inserted with EUV lithography. EUV single patterning results will be discussed by comparing the imaging performance of our NXE:3100 cluster to the NXE:3300 at ASML. Last but not least, the extendibility of EUV lithography towards sub 10nm patterning will be discussed by demonstrating sub 10nm half pitch LS patterns with EUV single Self Aligned Double Patterning (SADP).
Overlay metrology performance is usually reported as repeatability, matching between tools or optics aberrations
distorting the measurement (Tool induced shift or TIS). Over the last few years, improvement of these metrics by the
tool suppliers has been impressive. But, what about accuracy? Using different target types, we have already reported
small differences in the mean value as well as fingerprint [1]. These differences make the correctables questionable.
Which target is correct and therefore which translation, scaling etc. values should be fed back to the scanner?
In this paper we investigate the sources of these differences, using several approaches. First, we measure the response of
different targets to offsets programmed in a test vehicle. Second, we check the response of the same overlay targets to
overlay errors programmed into the scanner. We compare overlay target designs; what is the contribution of the size of
the features that make up the target? We use different overlay measurement techniques; is DBO (Diffraction Based
Overlay) more accurate than IBO (Image Based Overlay)? We measure overlay on several stacks; what is the stack
contribution to inaccuracy? In conclusion, we offer an explanation for the observed differences and propose a solution to
reduce them.
EUV lithography is a candidate for device manufacturing for the 16nm node and beyond. To prepare for insertion into
manufacturing, the challenges of this new technology need to be addressed. Therefore, the ASML NXE:3100 preproduction
tool was installed at imec replacing the ASML EUV Alpha Demo Tool (ADT). Since the technology has
moved to a pre-production phase, EUV technology has to mature and it needs to meet the strong requirements of sub
16nm devices. We discuss the CD uniformity and overlay performance of the NXE:3100. We focus on EUV specific
contributions to CD and overlay control, that were identified in earlier work on the ADT. The contributions to overlay
originate from the use of vacuum technology and reflective optics inside the scanner, which are needed for EUV light
transmission and throughput. Because the optical column is in vacuum, both wafer and reticle are held by electrostatic
chucks instead of vacuum chucks and this can affect overlay. Because the reticle is reflective, any reticle (clamp)
unflatness directly translates into a distortion error on wafer (non-telecentricity). For overlay, the wafer clamping
performance is not only determined by the exposure chuck, but also by the wafer type that is used. We will show wafer
clamping repeatability with different wafer types and discuss the thermal stability of the wafer during exposure.
In state of the art production, in order to obtain the best possible overlay performance between critical layers, wafers are
often dedicated to one scanner and all layers processed on that scanner, and in the case of scanners with dual stages, this
often extends to stage dedication as well. Meeting the overlay performance requirements becomes even more complex
with the introduction of EUV lithography into production. It will not be possible to expose all critical layers on an EUV
scanner, which will only be used for some of the most critical layers, the other critical layers will remain on 193nm
immersion scanners. It therefore needs to be demonstrated that the same overlay performance is achievable when tool
types are mixed and matched as when we run with tool dedication. To do this it is critical that we understand the overlay
matching characteristics of 193nm immersion and EUV scanners and from this learn how to control them, so that the
optimum strategy can be developed and overlay errors between these tool types minimized.
In this work we look at the matching performance between two generations of 193nm immersion scanner and an EUV
pre-production tool. We evaluate the matching in both directions, first layer on immersion, second layer on EUV and
vice-versa, and demonstrate how optimum matching can be achieved, so that insertion of an EUV scanner into
production for the required imaging does not result in a degraded overlay capability. We discuss the difference in grid
and intrafield signatures between the tool types and how this knowledge can be used to minimize the overlay errors
between them and if there are any new concerns which impact the chosen strategy when the two tool types are mixed
and matched.
In order to achieve pattern shape measurement with CD-SEM, the Model Based Library (MBL) technique is in the
process of development. In this study, several libraries which consisted by double trapezoid model placed in optimum
layout, were used to measure the various layout patterns. In order to verify the accuracy of the MBL photoresist pattern
shape measurement, CDAFM measurements were carried out as a reference metrology. Both results were compared to
each other, and we confirmed that there is a linear correlation between them. After that, to expand the application field of
the MBL technique, it was applied to end-of-line (EOL) shape measurement to show the capability. Finally, we
confirmed the possibility that the MBL could be applied to more local area shape measurement like hot-spot analysis.
In recent years, numerous authors have reported the advantages of Diffraction Based Overlay (DBO) over Image
Based Overlay (IBO), mainly by comparison of metrology figures of merit such as TIS and TMU. Some have even gone
as far as to say that DBO is the only viable overlay metrology technique for advanced technology nodes; 22nm and
beyond. Typically the only reported drawback of DBO is the size of the required targets. This severely limits its effective
use, when all critical layers of a product, including double patterned layers need to be measured, and in-die overlay
measurements are required.
In this paper we ask whether target size is the only limitation to the adoption of DBO for overlay characterization and
control, or are there other metrics, which need to be considered. For example, overlay accuracy with respect to scanner
baseline or on-product process overlay control? In this work, we critically re-assess the strengths and weaknesses of
DBO for the applications of scanner baseline and on-product process layer overlay control. A comprehensive comparison
is made to IBO. For on product process layer control we compare the performance on critical process layers; Gate,
Contact and Metal. In particularly we focus on the response of the scanner to the corrections determined by each
metrology technique for each process layer, as a measure of the accuracy. Our results show that to characterize an
overlay metrology technique that is suitable for use in advanced technology nodes requires much more than just
evaluating the conventional metrology metrics of TIS and TMU.
Extreme Ultra-Violet (EUV) lithography is a candidate for semiconductor manufacturing for the 16nm technology node
and beyond. Due to the very short wavelength of 13.5nm, EUV lithography provides the capability to continue single
exposure scaling with improved resolution and higher pattern fidelity compared to 193nm immersion lithography.
However, reducing the wavelength brings new equipment and process challenges. To enable EUV photon transmission
through the optical system, the entire optical path of an EUV exposure tool operates under vacuum, and in addition
reticle and optics are reflective. To obtain the required CD and overlay performance, both wafer and reticle front surfaces
need to have near-perfect flatness, as non-flatness directly contributes to focus and image placement errors, in the case of
the reticle due to non-telecentricity. Traditional vacuum chucks, both for reticle and wafer, cannot be used and are
replaced by electrostatic chucks. Any contribution of this new clamping method on CD and overlay control therefore
needs to be investigated, including avoidance of particle contamination over time. This work was performed on ASML's
EUV Alpha Demo Tool (ADT). We investigated the different, non-conventional contributions to overlay control on the
ADT, with particular attention to the wafer clamping performance of the exposure chuck. We demonstrate that we were
able to improve the overlay performance by compensating for the wafer clamping error during the wafer alignment
sequence. The impact of different wafer types on overlay was also evaluated. In addition to clamping effects, thermal
effects have also been shown to impact overlay and were evaluated by monitoring the thermal behavior of a wafer during
exposure on the ADT and correlating to the resulting overlay.
Diffraction Based Overlay (DBO) metrology has been shown to have significantly reduced Total Measurement
Uncertainty (TMU) compared to Image Based Overlay (IBO), primarily due to having no measurable Tool Induced Shift
(TIS). However, the advantages of having no measurable TIS can be outweighed by increased susceptibility to WIS
(Wafer Induced Shift) caused by target damage, process non-uniformities and variations. The path to optimum DBO
performance lies in having well characterized metrology targets, which are insensitive to process non-uniformities and
variations, in combination with optimized recipes which take advantage of advanced DBO designs.
In this work we examine the impact of different degrees of process non-uniformity and target damage on DBO
measurement gratings and study their impact on overlay measurement accuracy and precision. Multiple wavelength and dual polarization scatterometry are used to characterize the DBO design performance over the range of process variation. In conclusion, we describe the robustness of DBO metrology to target damage and show how to exploit the measurement capability of a multiple wavelength, dual polarization scatterometry tool to ensure the required measurement accuracy for current and future technology nodes.
Numerous metrology tools, techniques and methods are used by the industry to setup and qualify exposure tools for
production. Traditionally, different metrology techniques and tools have been used to setup dose, focus and overlay
optimally and they do so independently. The methods used can be cumbersome, have the potential to interfere with each
other and some even require an unacceptable amount of costly exposure tool time for data acquisition.
In this work, we present a method that uses an advanced angle-resolved scatterometry metrology tool that has the
capability to measure both CD and overlay. By using a technique to de-convolve dose and focus based on the profile
measurement of a well characterized process monitor target, we show that the dose and focus signature of a high NA
193nm immersion scanner can be effectively measured and corrected. A similar approach was also taken to address
overlay errors using the diffraction based overlay capability of our metrology tool. We demonstrate the advantage of having a single metrology tool solution, which enables us to reduce dose, focus and overlay signatures to a minimum.
The scatterometry or OCD (Optical CD) metrology technique has in recent years moved from being a general purpose
CD metrology technique to one that addresses the metrology needs of process monitoring and control, where its
strengths can be fully utilized. With the significant advancements that have been made in both hardware and software
design, the setup time required to build complex models and solutions has been significantly reduced. Whilst the
application of scatterometry to process control has clearly shown its merits, the question still arises as to how accurately
the process corrections to feed forward or feedback for process control can be extracted?
In this work we critically examine the accuracy of scatterometry with respect to process control by comparing three
hardware platforms, on a simple litho stack. The impact of hardware design is discussed as well as the 'setup' of the
modeled parameters on the final measurement result. It will be shown that informations extracted based on scatterometry
measurements must be true to process variation and independent of the hardware design. Our results will show that the
ability to use scatterometry effectively for process control ultimately lies in the ability to accurately determine the
changes that have occurred in the process and to be able to extract appropriate process corrections for feedback or feed
forward control; allowing these changes to be accurately corrected. To do this the metrology validation extends beyond
the typical metrology metrics such as precision and TMU; metrology validation with respect to process control must
encompass accurate determination of process corrections to ensure a process tool and/or process stays at the set point.
As critical dimension (CD) control requirements increase and process windows decrease, it is now of even higher
importance to be able to determine and separate the sources of CD error in an immersion cluster, in order to correct for
them. It has already been reported that the CD error contributors can be attributed to two primary lithographic
parameters: effective dose and focus. In this paper, we demonstrate a method to extract effective dose and focus, based
on diffraction based optical metrology (scatterometry). A physical model is used to describe the CD variations of a
target with controlled focus and dose offsets. This calibrated model enables the extraction of effective dose and focus
fingerprints across wafer and across scanner exposure field. We will show how to optimize the target design and the
process conditions, in order to achieve an accurate and precise de-convolution over a larger range of focus and dose than
the expected variation of the cluster.
This technique is implemented on an ASML XT:1900Gi scanner interfaced with a Sokudo RF3S track. The systematic
focus and dose fingerprints obtained by this de-convolution technique enable identification of the specific contributions
of the track, scanner and reticle. Finally, specific corrections are applied to compensate for these systematic CD variations and a significant improvement in CD uniformity is demonstrated.
The 22nm technology node is the target for insertion of Extreme Ultra-Violet (EUV) lithography into pre-production. To
prepare this insertion, the issues that arise with the use of an EUV lithographic scanner in a pre-production environment
need to be addressed. To gain better understanding of the issues that come with an EUV lithographic scanner, the Alpha
Demo Tool (ADT) from ASML was installed at IMEC and is now in use since mid of 2008. In July 2009, the source was
upgraded to a 170W/2π source to allow for higher uptime and wafer output by means of the semi-automatic tin refill.
Also a new advanced resist, the SEVR-59 resist was introduced after the installation of the 170W/2π source to allow
printing of 32nm Lines-Spaces (LS). After these changes, the ADT has been monitored closely with respect to the
imaging performance. In this paper, we report on both the CD fingerprint analysis and the exposure tool stability. For
32nm dense LS, the ADT shows a wafer CD Uniformity (CDU) of 2.5nm 3σ, without any corrections for process or
reticle. As for 40nm LS, the wafer CDU is correlated to different factors that are known to influence the CD fingerprint
from traditional lithography: reticle CD error, slit intensity uniformity, focal plane deviation but also EUV specific
reticle shadowing. The ADT shows excellent wafer-to-wafer stability (<0.5nm CD range in a 5-wafer lot) and the
average CD as a function of exposure sequence is stable (<0.5nm 3σ in a 5-wafer lot). The ADT shows good CD
stability over 5 months of operation with the 170W/2π source, both intrafield and across wafer. There is a 5nm difference
in overlay performance (measured or after corrections) between the ADT and the XT:1900Gi production tool (using the
same etched silicon wafers as a reference). Below 32nm, the ADT shows good wafer CDU for 30nm dense LS (60nm pitch). First 27nm dense line CDU data are achieved (54nm pitch). The results indicate that the ADT can be used effectively for EUV process development before installation of the pre-production tool, the ASML NXE:3100 at IMEC.
Given the increasingly stringent CD requirements for double patterning at the 32nm node and beyond the question arises
as to how best to correct for CD non-uniformity at litho and etch. For example, is it best to apply a dose correction over
the wafer while keeping the PEB plate as uniform as possible, or should the dose be kept constant and PEB CD tuning
used to correct. In this work we present experimental data, obtained on a state of the art ASML XT:1900Gi and Sokudo
RF3S cluster, on both of these approaches, as well as on a combined approach utilizing both PEB CD tuning and dose
correction.
With the improved resolution of immersion lithography by Hyper-NA (Numerical Aperture) and Low-k1 scaling factor,
lithographers face the problem of decreasing Depth of Focus and in turn reduced process latitude. It is important for
high precision process monitoring the decrease in process latitude which comes with Hyper-NA and Low-k1, in order to
be able to successfully introduce RET (Resolution Enhancement Techniques) lithography into high volume production.
MPPC (Multiple Parameters Profile Characterization) is a function which provides the ability to extract pattern shape
information from a measured e-beam signal. MPPC function becomes key technique of pattern profile verification by
top down SEM images for the Hyper-NA lithography, for that reason it can be detected to relate to pattern profile
change.
In this work, we explored a practical application of MPPC function by making clear the relationship between MPPC
indices and Litho parameters concerning specific lithography application. We performed the two kinds of experiment
for verifying effectiveness of the MPPC function. First experiment explored printing image contrast by using the WB
with exposure pattern shape change related from image printing condition. Second experiment explored pattern shape
change due to resist contrast with changing the process conditions by using WB behavior. In consequence, we
demonstrated a practical application of MPPC function by quantification using WB and assessed the process monitoring
capability.
Our challenge of this research is the practical application of the MPPC function on production wafers concerning
specific lithography application. We believe that this application can be effectual in process monitoring and control for
Hyper-NA lithography.
The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node
and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has
to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also
demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM
[1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the
required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double
patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process
compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT
very challenging.
In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique
(SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double
patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The
process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard
imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer
imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs
already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the
scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We
conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in
double patterning processes.
Ever since the introduction of immersion lithography overlay has been a primary concern. Immersion exposure tools
show an overlay fingerprint that we hope to correct for by introducing correctables per field, i.e. a piece-wise
approximation of the fingerprint but within the correction capabilities of the exposure tool. If this mechanism is to be
used for reducing overlay errors it must be stable over an entire batch. This type of correction requires a substantial
amount of measurements therefore it would be ideal if the fingerprint is also stable over time. These requirements are of
particular importance for double patterning where overlay budgets have been further reduced. Since the variation of the
fingerprint specific to immersion tools creeps directly into the overlay budget, it is important to know how much of the
total overlay error can be attributed to changes in the immersion fingerprint. In this paper we estimate this immersion
specific error but find it to be a very small contributor.
In this study, the principle of the resist loss measurement method proposed in our previous paper[1] was verified. The technique proposes the detection of resist loss variation using the pattern top roughness (PTR) index determined by scanning electron microscope images. By measuring resist loss with atomic force microscope, we confirmed that the PTR showed a good correlation with the resist loss and was capable of detecting variations within an accuracy of 20 nm for the evaluated sample. Furthermore, the effect of PTR monitoring on line width control was evaluated by comparing the error in line width control after eliminating undesirable resist loss patterns to that of conventional line width monitoring. The error of line width control was defined as the deviation range in post-etch line widths from post-litho values. Using PTR monitoring, the error in line width control decreased from 10 nm to less than 3 nm, thus confirming
the effectiveness of this method.
Given the increasingly stringent CD requirements for double patterning at the 32nm node and beyond, the question arises
as to how best to correct for CD non-uniformity at litho and etch. For example, is it best to apply a dose correction over
the wafer while keeping the PEB plate as uniform as possible, or should the dose be kept constant and PEB plate tuning
used to correct. In this paper we present experimental data using both of these approaches, obtained on an ASML
XT:1900Gi and Sokudo RF3S cluster.
KEYWORDS: Scanning electron microscopy, Critical dimension metrology, Signal detection, Lithography, Signal attenuation, Semiconducting wafers, Process control, 3D metrology, Finite element methods, Metrology
In our previous paper*[1], next generation lithography offering improved resolution by use of Hyper-NA and Low-k1,
changes in exposure tool focus were seen to influence pattern shape and it was verified that pattern profile variation
occurs even when measured CD values are similar. This shows the necessity for process control to include pattern
shape information, conventional methods using the CD value alone will be insufficient as process latitudes continue to
shrink. In such a situation, to be able to precisely measure the physical dimensions of design features becomes more
and more important.
In this study, we have investigated improved precision of Process Window (PW) determination by using the MPPC
function that allows the pattern profile shape to be quantified. We have also evaluated pattern shape variation by
means of Litho-simulation. As a result, it was confirmed that resist loss is the main change in shape that occurs.
Therefore, we have focused our attention on resist loss and optimized the MPPC parameters by SEM simulation*[2].
As a consequence, it was possible to precisely detect the resist loss. Using this technique, it was possible to show the
possibility for highly precise 3D measurement determination, for use in exposure tool monitoring, by using the MPPC
measurement technique.
In this research, we improved litho process monitor performance with CD-SEM for hyper-NA lithography. First, by
comparing litho and etch process windows, it was confirmed that litho process monitor performance is insufficient just
by CD measurement because of litho-etch CD bias variation. Then we investigated the impact of the changing resist
profile on litho-etch CD bias variation by cross-sectional observation. As a result, it was determined that resist loss and
footing variation cause litho-etch CD bias variation. Then, we proposed a measurement method to detect the resist loss
variation from top-down SEM image. Proposed resist loss measurement method had good linearity to detect resist loss
variation. At the end, threshold of resist loss index for litho process monitor was determined as to detect litho-etch CD
bias variation. Then we confirmed that with the proposed resist loss measurement method, the litho process monitor
performance was improved by detection of litho-etch CD bias variation in the same throughput as CD measurement.
With the planned introduction of double patterning techniques, the focus of attention has been on tool overlay
performance and whether or not this meets the required overlay for double patterning. However, as we require tighter
and tighter overlay performance, the impact of the selected integration strategy plays a key part in determining the
achievable overlay performance. Very little attention has been given at this time to the impact of for example deposition
steps, oxidation steps, CMP steps and the impact that they have on wafer deformation and therefore degraded overlay
performance, which directly reduces the available overlay budget. Also, selecting the optimum alignment strategy to
follow, either direct or indirect alignment, plays an important part in achieving optimum overlay performance. In this
paper we investigate the process impact of various double patterning integration strategies and attempt to show the
importance of selecting the right strategy with respect to achieving a manufacturable double patterning process.
Furthermore, we report a methodology to minimize process overlay by modelling the non-linear grids for process
induced wafer deformation and demonstrate best achievable overlay by feeding this information back to the relevant
process steps.
With the recent introduction of immersion lithography, optical systems with numerical aperture (NA) reaching 1.0 or
larger can be realized. Various Resolution Enhancement Techniques (RET) such as various phase shift mask approaches
have been used to push even further the resolution limit by reducing k1 scaling factor, including Double Patterning
Technology. However, with the improved resolution by Hyper-NA and Low-k1, lithographers face the problem of
decreasing Depth of Focus and in turn reduced process latitude. Throughout the industry, Process Window has been
widely used as an analytical tool to evaluate process latitude for a given design feature size; therefore, the ability to
accurately and efficiently derive a Process Window within which a process can run on target and in control is
fundamental to Low-k1 lithography. Accuracy of Process Window derivation is based on the ability to accurately
measure and model the physical dimension of the design feature and how it changes in response to changes in process
parameters. In the case of lithography, the Process Window of a desired critical dimension target is bounded by
changes in exposure energy and defocus. To be able to accurately measure the physical dimension of the design
feature remains a big challenge for metrologists especially in the presence of other process noise. In this work, it is
shown that the precision of PW measurement can be enhanced by using CD-ACD (Average CD) function to measure a
FEM (Focus-Exposure matrix) wafer. ACD is a function, which simultaneously measures several points, thus
providing higher precision measurement in comparison to the conventional single point measurement. As seen in this
work, by using ACD measurements to derive the Process Window, there is a significantly improvement in the stability
of the derived Process Window. Also reported is the MPPC (Multiple Parameters Profile Characterization) *1), a
function which provides the ability to extract pattern shape information from a measured e-beam signal. This function
together with the ACD function enables PW measurement with high precision, which also takes into account the actual
pattern shape. PW derived from conventionally measured data was compared with PW derived from ACD and MPPC
measurement and we were able to demonstrate an improvement of more than 30% in precision of PW determination.
Monitoring of the focus performance is recognized to be an important part of a periodic scanner health check, but can
one simply apply all techniques that have been used for dry scanners to immersion scanners? And if so how do such
techniques compare to scanner self-metrology tests that are used to set up the tool? In this paper we look at one specific
off-line focus characterization technique, Back Side Chrome (BSC), which we then try to match with results obtained
from two self-metrology focus tests, available on the scanner chosen for this work. The latter tests are also used to set up
the immersion scanner. We point out a few concerns, discuss their effect and indicate that for each generation of
immersion tool one should redo the entire exercise.
The immersion effects on lithography-system performance have been investigated using a ASML TWINSCAN XT:1250Di immersion-ArF scanner (NA=0.85) and Tokyo Electron CLEAN TRACK ACT12 at IMEC. Effects of immersion-induced-temperature change and effects of material-top surface are discussed in this paper. The wafer-stage temperature is measured during the leveling-verification tests and compared with the observed residual-focus-error change. The results indicate that stage-temperature change under an immersion environment can induce a focus change. In this paper, it was proved that the improved-temperature-control stage is effective to mitigate the immersion-specific focus change. The immersion effect on overlay is also investigated as a function of material top surface. It was demonstrated that the effect of material-receding-contact angles on the grid-residual errors (non-correctable errors) is small in the latest-immersion-hardware configuration of the scanner. However, there was a tendency that material with a smaller-receding-contact angle has a larger-wafer scaling although it is a correctable parameter. This can be caused by the first-layer wafer shrinkage due to more water evaporation on the more-hydrophilic surface. The immersion effect on scanner-dynamic performance is then investigated by changing the material-top surface and the scan speed of the scanner. It was turned out that the scan synchronization is not much affected by differences of material receding-contact-angles for the new configuration of the scanner. Moving-standard deviation of the synchronization error in scanning direction (y-direction) is slightly more affected by increased scanning speed, although it stays within specification even at a maximum scan speed of 500 mm/sec. Finally the immersion effects on resist-profile uniformity are examined. It was found that lower-leaching-film stacks (with a top coat or a lower leaching resist) seem to mitigate the variation of resist-profile uniformity.
In recent years as transistor gate lengths are driven to 50nm and below, several new advanced transistor architectures have been introduced. These transistor structures require the use of distinctly different materials and process technology, each of which imposes new challenges. In the early development phase of our FinFET technology, which utilizes Silicon On Insulator (SOI), very large overlay errors were observed. These overlay errors could be as much as ten times larger than the overlay capability of the state of the art exposure tools used.
Traditionally when analyzing such overlay errors we characterize the systematic or correctable components and the residual errors. The modeling of these overlay errors in terms of grid and intrafield components is well understood and provides an extremely effective means of detecting registration errors associated with the exposure tools. Nevertheless, when large overlay errors are observed, the tendency remains to suspect that the exposure tool is the cause of the overlay errors. In these situations alignment often and very quickly becomes the focus of attention.
By using experimental splits and appropriate analysis techniques, we were able to identify the specific process steps and equipment responsible. This allowed those process steps to be replaced by alternative integration strategies, before the technology was finalized. By detection of these errors early in the development phase and by working closely with integration, a well characterized process, eliminating FinFET material and process induced overlay errors can be achieved. In this paper we report on the methodology used and show that overlay performance can be achieved consistent with the capabilities of the state of the art exposure tools used.
KEYWORDS: Critical dimension metrology, Semiconducting wafers, Lithography, Metrology, Scatterometry, Process control, 193nm lithography, Control systems, Finite element methods, Time metrology
In the continuous drive for smaller feature sizes, process monitoring becomes increasingly important to compensate for the smaller lithography process window and to assure that Critical Dimensions (CD) remain within the required specifications. Moreover, the higher level of automation in manufacturing enables almost real-time correction of lithography cluster machine parameters, resulting in a more efficient and controlled use of the tools. Therefore, fast and precise in-line lithography metrology using Advanced Process Control (APC) rules are becoming crucial, in order to guarantee that critical dimensions stay correctly targeted.
In this paper, the feasibility of improving the CD control of a 193nm lithography cluster has been investigated by using integrated scatterometry. The target of the work was to identify if a dose correction on field and wafer level, based on precise in-line measurements, could improve the overall CD control. Firstly, the integrated metrology has been evaluated extensively towards precision and sensitivity in order to prove its benefits for this kind of control. Having a long-term repeatability of significantly better than 0.75nm 3σ, this was very promising towards the requirements for sub-nanometer CD correction. Moreover, based on an extensive evaluation of the process window on the lithography cluster, it has been shown that the focus variation is minimal and that CD control can be improved using dose correction only. In addition, systematic variations in across-wafer uniformity and across-lot uniformity have been determined during this monitoring period, in order to identify correctable fingerprints. Finally, the dose correction model has been applied to compensate for these systematic CD variations and improved CD control was demonstrated. Using a simple dose correction rule, a forty percent improvement in CD control was obtained.
With each new technology node, there is as usual a corresponding tightening of the overlay requirements. To achieve these requirements in production there is increasingly a need to apply APC strategies, in order to control overlay. However, in order to control overlay successfully using such APC strategies, it is critical to have a thorough understanding of all the sources of overlay error, both grid and intrafield, that contribute to the total overlay budget. Without this thorough understanding, it becomes difficult to establish whether the APC strategy is actually reducing the sources of overlay variation, or in the worst case, actually responsible for their increase. In this paper we present an analysis of the sources of overlay error for three ASML step and scan tools, rank their relative significance and develop a methodology for controlling them by means of an APC strategy. The analysis is based on data collected over a period of more than four months using a baseline monitor. Stability is monitored both with and without feedback corrections from an APC system, in order to optimize the APC strategy. From the analysis we propose a knowledge based APC methodology, using feedback optimization, for overlay control of ASML step and scan exposure tools.
In this publication we introduce a new metric for process robustness of overlay metrology in microelectronic manufacturing. By straightforward statistical analysis of overlay metrology measurements on an array of adjacent, nominally identical overlay targets the Overlay Mark Fidelity (OMF) can be estimated. We present the results of such measurements and analysis on various marks, which were patterned using a DUV scanner. The same reticle set was used to pattern wafers on different process layers and process conditions. By appropriate statistical analysis, the breakdown of the total OMF into a reticle-induced OMF component and a process induced OMF component was facilitated. We compare the OMF of traditional box-in-box overlay marks with that of new gratingbased overlay marks and show that in all cases the grating marks are superior. The reticle related OMF showed an improvement of 30 % when using the new grating-based overlay mark. Furthermore, in a series of wafers run through an STI-process with different Chemical Mechanical Polish (CMP) times, the random component of the OMF of the new grating-based overlay mark was observed to be 40% lower and 50% less sensitive to process variation compared with Box in Box marks. These two observations are interpreted as improved process robustness of the grating mark over box in box, specifically in terms of reduced site by site variations and reduced wafer to wafer variations as process conditions change over time. Overlay Mark Fidelity, as defined in this publication, is a source of overlay metrology uncertainty, which is statistically independent of the standard error contributors, i.e. precision, TIS variability, and tool to tool matching. Current overlay metrology budgeting practices do not take this into consideration when calculating total measurement uncertainty (TMU). It is proposed that this be reconsidered, given the tightness of overlay and overlay metrology budgets at the 70 nm design rule node and below.
With each new technology node, the corresponding overlay requirements become tighter. It has been shown that differences can exist between the pattern placement error of the relatively large frame in frame type overlay structures typically used for overlay measurement and control and real device structures. These differences can become a significant part of the total overlay budget. It is therefore necessary to identify the magnitude of these differences and establish whether they can be corrected. In order to investigate these differences in pattern placement error, an alternative metrology technique needed to be established which was capable of measuring the overlay of real device structures. In the first part of this paper we show that CD SEM Overlay Metrology offers comparable precision to that of the more traditional measurement of large frame in frame type overlay structures on an optical overlay tool. In the second part of the paper we apply this metrology technique to evaluate the pattern placement errors across the field of a step and scan exposure tool for different illumination modes. This is then compared to that obtained using the more traditional optical overlay metrology technique. Finally we discuss how these differences can be handled in a production environment in order to obtain optimum overlay performance.
The continuing reduction of IC device dimensions puts stringent demands on the corresponding overlay performance. As part of the total overlay budget, the effects of the different process parameters need to be characterized and well understood. In a joint development program between IMEC and ASML, the robustness of different alignment strategies to process parameters has been evaluated using the ATHENA alignment system. This paper looks at both Front-end (Shallow Trench Isolation) and Back-end (W-CMP and copper dual damascene) processing. To investigate the effect of STI processing on alignment marks in Front-end processing an extensive evaluation has been performed in which both mark design and process parameters have been varied. The robustness to typical long term process variation at the STI CMP step in a production environment has also been evaluated. To improve the robustness of alignment marks in Back-end processing, new mark designs have been evaluated. These designs have been evaluated for two different processes. The first uses traditional W-CMP and sputtered aluminum. The second uses copper dual damascene, with layer stacks consisting of both conventional and low-k dielectric materials. This knowledge will be used to generate alignment strategies for future technology nodes.
Semiconductor manufactures using ASML exposure tool shave traditionally used a zero layer mark approach and Through- the-lens (TTL) alignment system to align all production layers of a device. Future ASML exposure tools will no longer have the TTL system and will exclusively employ ATHENA, which is an off-axis alignment system utilizing two wavelengths and higher diffraction orders. In anticipation of this conversion, an evaluation has been performed using IDT's standard alignment scheme to compare the performance of ATHENA to that of TTL for the alignment of the Gate layer to the STI layer. Standard XPA and higher diffraction order enhanced XPA mark types were evaluated using the zero layer approach, as well as non-zero layer enhanced scribeline SPM marks. TTL alignment to both standard and enhanced XPA marks showed a good alignment capability, with enhanced marks showing a slight improvement over standard marks. ATHENA alignment to XPA marks gave similar results, although the red wavelength was shown to be slightly more stable than the green. CMP and thin film stack splits showed no significant correlation to alignment capability for any mode or mark type.
Advances in wafer processing techniques and the increase of wafer size to 300 mm present new challenges to overlay performance. This paper focuses on advances n the area of process-induced alignment accuracy using the ASML ATHENA alignment system. In the experiments, process variations were deliberately increased to characterize the influence of process-tool settings on wafer alignment performance. In the STI process flow, overlays of <32 nm on marks in silicon or marks in the STI layer have been achieved. In the back-end-of-line, aluminum layers exhibit a significant shift of alignment marks and off-line metrology targets. A geometrical model of the sputter tool is used to explain the origin of this effect. Possible improvements in process corrections are indicated. For the copper dual damascene process investigated here, the dielectrics are non-absorbing. Overlays of 25 nm on marks in silicon and 29 nm on marks in the metal layer are obtained. On 300 mm wafers, a new measurement method is capable of measuring process effects to an accuracy within 6.2 (3(sigma) ). This method is used to measure resist spin effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.