The strong effort to push further Moore’s law is driving the insertion of EUV pilot production at several captive and merchant semiconductor vendors, which already today puts strong demands on actinic tools metrology capabilities. The EUV mask infrastructure plays a central role for the successful introduction of EUV into high volume manufacturing: to provide the mask shop with actinic review capabilities, ZEISS and the SUNY Poly SEMATECH EUVL Mask Infrastructure consortium developed and launched an actinic metrology platform based on aerial imaging technology. Over the last few years, it was demonstrated how this aerial image metrology platform fulfills the mask shop requirements for actinic defect review and repair verification. In this paper we present the latest performance achievements of the platform together with the discussion on platform based capabilities for possible future actinic metrology extensions, with a special emphasis on the AIMSTM EUV solution for high-NA emulation capabilities.
EUV lithography is being prepared for insertion into the semiconductor production processes to continue the reduction of critical feature sizes at subsequent process nodes. To support that EUV wafer lithography development and production, the EUV photomask infrastructure similarly needs to be ready to support the shipment of EUV photomasks. EUV photomasks will require tighter process controls and tighter defect specifications to meet the requirements necessary for the wafer manufacturing insertion node. The novelty of the EUV lithography process combined with the high degree of complexity of the EUV photomask structure and process each contribute to the tightening of EUV photomask requirements, requiring accurate metrology to ensure fidelity to the photomask specifications. To fully address the industry requirements for EUV defectivity review and actinic mask qualification, ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology system, the AIMSTM EUV. The first commercial platform is already installed at a customer site and is available to support the EUV photomask production pipeline. This paper shows how the proven technology of the ZEISS aerial image system implemented into the AIMSTM EUV platform supports EUV photomask production in the back end of the line of Intel photomask manufacturing shop. Alongside with describing the essential development phases of the platform at customer site, examples of the reproducible measurement quality, as well as stability of the imaging fidelity of the system in production will be shown. In addition, the system output together with the experience on uptime and availability of the AIMSTM EUV platform in production is presented.
With the EUV high volume manufacturing becoming reality and the closing gap of EUV mask infrastructure, EUV lithography is seeing or will shortly see the first production chips being fabricated with EUV. Pilot production in EUV HVM is most likely realized in a mix-and-match process with 193nm techniques. The degree of complexity introduced by the EUV lithographic process is transferred in parallel also to EUV mask: the combination of process sensitive 3D effects and material dependent EUV reflectivity make even the simplest EUV mask what the community is recognizing to be a very complex phase object. The qualification of such a complex piece of Infrastructure as the EUV mask is being addressed from many directions: defect review application is always more backed up by ancillary applications which aim at qualifying the printing behavior of the mask with the fundamental precondition of a full scanner emulation. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology platform, the AIMS™ EUV platform, which fully addresses the industry requirements for EUV defectivity review. Additionally, this tool platform allows for mask qualification applications based on the employment of aerial image proven technology.
In this paper, the status and recent achievements of the AIMSTM EUV platform will be presented. Promoting the detailed exploration of the aerial image content potential for EUV process understanding and mask qualification, we will present recent results on a printability study of embedded EUV multilayer defects, along with providing further insights into the relevance of mask 3D effects.
As more aggressive EUV imaging techniques and resists with lower intrinsic roughness are developed for patterning at 7- and 5-nm technology nodes, EUV mask roughness will contribute an increasing portion of the total printed line-width roughness (LWR). We perform a comprehensive characterization of the EUV mask impacts on wafer LWR using actinic aerial images and wafer SEM images. Analytical methods are developed to properly separate and compare the LWR effects from EUV masks, photon shot noise, and resist stochastics. The use of EUV AIMS™ to emulate and measure incident photon shot noise effects is explored and demonstrated. A sub 10-nm EUV mask is qualified using EUV AIMS™ with scanner equivalent dose settings that are required for patterning 16- and 18-nm half-pitch L/S features. Typical chemically amplified EUV resists with low- and high-dose sensitivities are patterned and characterized with SEM metrology. The variance and spectral components contributing to wafer LWR are quantified and compared. Our analysis shows that speckle-induced aerial LWR is not a significant factor at the experimental imaging conditions when ML roughness is 50-pm rms. At the current scanner dose levels, mask absorber pattern roughness is a major factor in aerial LWR, but not as significant a contributor to wafer LWR where resist stochastics still dominate.
As more aggressive EUV imaging techniques and resists with lower intrinsic roughness are developed for patterning at 7nm and 5nm technology nodes, EUV mask roughness will contribute an increasing portion of the total printed linewidth roughness (LWR). In this study, we perform a comprehensive characterization of the EUV mask impacts on wafer LWR using actinic aerial images and wafer SEM images. Analytical methods are developed to properly separate and compare the LWR effects from EUV masks, photon shot noise, and resist stochastics. The use of EUV AIMSTM to emulate and measure incident photon shot noise effects is explored and demonstrated. A sub-10nm EUV mask is qualified using EUV AIMSTM with scanner equivalent dose settings that are required for patterning 16nm and 18nm half-pitch L/S features with low- and high-dose CAR resists. The variance and spectral components contributing to wafer LWR are quantified and compared.
For upcoming EUV high volume manufacturing, the EUV mask infrastructure plays a central role for its successful introduction. One of the key items in the EUV mask infrastructure is the need of manufacturing defect free photomasks for which an actinic mask review capability is a critical success factor. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology system, the AIMS™ EUV. In this paper we present the latest achievements of this AIMS™ EUV platform together with data and analysis of LER/LWR measurements in the aerial image. We provide an overall project overview and discuss possible future extensions options based on this actinic metrology platform.
Actinic review of potential defect sites and verification of their repair is a key step in producing defect free masks. The
AIMSTM systems are the industry proven standard for this task and the AIMSTM EUV has been developed to provide this
functionality for EUV masks. Thereby it closes an important gap in the EUV mask infrastructure for volume production.
In this paper, we show the readiness of the AIMSTM EUV for defect review and verification, and discuss the use of actinic
aerial image metrology beyond this core application. In particular, we show measurements on mask 3D effects and the
contribution of photon stochastics on wafer local CDU.
We report on the printability, mitigation and actinic mask level review of programmed substrate blank pit and bump defects in a EUV lithography test mask. We show the wafer printing behavior of these defects exposed with an NXE:3300 EUV lithography scanner and the corresponding mask level actinic review using the AIMSTM tool. We will show which categories of these blank substrate defects print on wafer and how they can be mitigated by hiding these defects under absorber lines. Furthermore we show that actinic AIMSTM mask review images of these defects, in combination with a simple thresholded resist transfer model, can accurately predict their wafer printing profiles. We also compare mask level actinic AIMSTM to top down mask SEM review in their ability to detect these defects.
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed such an EUV aerial image metrology system, the AIMS™ EUV, with the prototype tool regularly being used for customer measurement campaigns and the first system shipped to customer end of last year. In this paper, we provide an update on the system performance and present quantitative measurements of the impact of mask surface roughness on the aerial image. We show that an increasing amount of effects is only visible in actinic aerial imaging and discuss potential benefits of aerial image based mask qualification.
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium started a development program for such an EUV aerial image metrology system, the AIMS EUV. In this paper, we provide measurement data on the system’s key specifications and discuss its performance and capability status.
Key enabler of the successful introduction of EUV lithography into volume production is the EUV mask
infrastructure. For the production of defect free masks, actinic review of potential defect sites to decide on the need
for repair or compensation is required. Also, the repair or compensation with the ZEISS MERiT electron beam repair
tool needs actinic verification in a closed loop mask repair solution. For the realization of actinic mask review,
ZEISS and the SEMATECH EUVL Mask Infrastructure consortium started a development program for an EUV
aerial image metrology system, the AIMSTM EUV, with realization of a prototype tool.
The development and prototype realization of the AIMSTM EUV has entered the tool calibration and qualification
phase utilizing the achieved capabilities of EUV aerial image acquisition and EUV mask handling. In this paper, we
discuss the current status of the prototype qualification and show recent measurement results.
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. To realize such an actinic review tool, Carl Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a development program for an EUV aerial image metrology system, the AIMS™ EUV. In this paper, we discuss the current status of the prototype integration and show recent results.
Overcoming the challenges associated with photomask defectivity is one of the key aspects associated with EUV
mask infrastructure. In addition to establishing specific EUV mask repair approaches, the ability to identify printable
mask defects that require repair as well as to verify if a repair was successful are absolutely necessary. Such
verification can only be performed by studying the repaired region using actinic light at an exact emulation of the
scanner illumination conditions of the mask as can be done by the AIMSTM EUV. ZEISS, in collaboration with the
SEMATECH EUVL Mask Infrastructure (EMI) consortium are currently developing the AIMSTM EUV system and
have recently achieved First Light on the prototype system, a major achievement. First light results will be presented
in addition to the current development status of the system.
The EUV mask infrastructure is of key importance for a successful introduction of EUV lithography into volume
production. In particular, for the production of defect free masks, actinic review of potential defect sites is required. To
realize such an actinic review tool, Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a
development programme for an EUV aerial image metrology system (AIMS™ EUV). In this paper, we discuss the
status of the on-going system integration and show first results from the first light tests of the prototype tool.
The need for an actinic wavelength AIMS™ EUV tool by 2014 has been defined by SEMATECH due to the challenges
associated with EUV mask manufacture and defectivity. The AIMS™ EUV development project began in June of 2011
as a collaboration between ZEISS and the SEMATECH EUVL Mask Infrastructure (EMI) consortium. The project
remains on track to meet the first commercial tool shipment in September 2014. The current design status of the system
after two years as well as recent progress in the prototype build will be presented.
In previous conferences the status of the AIMS™ EUV project has been presented in which the basic layout scheme and preliminary design have been shown along with the targeted performance specification levels to be met. Presently the final design milestone of the project has been successfully completed and assembly of the prototype
tool is underway. The final design concept will be presented along with the current status of the tool and simulated performance data.
The EUV mask infrastructure is of key importance for a successful introduction of EUV lithography into volume
production. In particular, for the production of defect free masks an actinic review of potential defect sites is required.
With such a review it can be decided if a defect needs to be repaired or compensated. It also serves as verification
whether the respective absorber or compensational repair with e.g. the MeRiT® tool has been successful, i.e. it closes the
control loop in mask repair. To realize such an actinic review tool, Carl Zeiss and the SEMATECH EUVL Mask
Infrastructure consortium started a development programme for an EUV aerial image metrology system (AIMS™ EUV).
In this paper, we discuss the application of the AIMS™ EUV in the compensational repair process of multilayer and
blank defects and present the status of the AIMS™ EUV project.
The high volume device manufacturing infrastructure for the 22nm node and below based on EUVL technology requires
defect-free EUV mask manufacturing as one of its foundations. The EUV Mask Infrastructure program (EMI) initiated
by SEMATECH has identified an actinic measurement system for the printability analysis of EUV mask defects to
ensure defect free mask manufacturing and cost-effective high-volume EUV production as an infrastructural prerequisite
for the EUVL roadmap ([1], [2]).
The Concept and Feasibility study for the AIMSTM EUV resulted in a feasible tool concept for 16nm defect printability
review. The main development program for the AIMSTM EUV has been started at Carl Zeiss leading to a commercialized
tool available in 2014.
In this paper we will present the status of the progress of the design phase of this development and an infrastructure
progress update of the EUV Mask defect printability review.
EUV mask infrastructure is of key importance for the introduction of the 13.5nm extreme ultraviolet (EUV) wavelength
into volume production. In particular, the manufacturing of defect free masks is essential and requires a printability
analysis ("review") of potential defect sites. For this purpose, Carl Zeiss and the SEMATECH EUVL Mask
Infrastructure consortium have performed a concept and feasibility study for an actinic aerial image metrology system
(AIMS™). In this paper, we discuss the main results of this study. We explain the system concept, discuss the expected
performance and show simulations of the capability to find minimum sized defects. We demonstrate that our EUV AIMS
concept is technically feasible and supports the defect review requirements for the 22nm and 16nm half-pitch (hp) node.
On the road to and beyond the 22nm half-pitch on chip patterning technology, 13.5nm EUVL is widely considered the
best next technology generation following deep ultraviolet lithography. The availability of an actinic measurement
system for the printability analysis of mask defects to ensure defect-free mask manufacturing and cost-effective high-volume
EUV production is an infrastructural prerequisite for the EUVL roadmap and represents a significant step toward
readiness for commercialization of EUV for high-volume-manufacturing .
Carl Zeiss and SEMATECH's EUVL Mask Infrastructure (EMI) program started a concept study and feasibility plan for
a tool that emulates the aerial image formed by a EUV lithography scanner supporting the 22 nm half-pitch node
requirements with extendibility to the 16nm half-pitch node. The study is targeting a feasible concept for the AIMSTM EUV platform, bridging a significant gap for EUV mask metrology.
The application of customized and freeform illumination source shapes is a key enabler for continued shrink using
193 nm water based immersion lithography at the maximum possible NA of 1.35. In this paper we present the
capabilities of the DOE based Aerial XP illuminator and the new programmable FlexRay illuminator. Both of these
advanced illumination systems support the generation of such arbitrarily shaped illumination sources. We explain how
the different parts of the optical column interact in forming the source shape with which the reticle is illuminated.
Practical constraints of the systems do not limit the capabilities to utilize the benefit of freeform source shapes vs. classic
pupil shapes. Despite a different pupil forming mechanism in the two illuminator types, the resulting pupils are
compatible regarding lithographic imaging performance so that processes can be transferred between the two illuminator
types. Measured freeform sources can be characterized by applying a parametric fit model, to extract information for
optimum pupil setup, and by importing the measured source bitmap into an imaging simulator to directly evaluate its
impact on CD and overlay. We compare measured freeform sources from both illuminator types and demonstrate the
good matching between measured FlexRay and DOE based freeform source shapes.
KrF lithography is nowadays widely used for volume production spanning many device layers ranging from front-end 90nm to mid- & back-end layers in 45nm and 32nm ITRS imaging nodes. In this paper we discuss the addition of the new high-NA XT:1000H TWINSCAN(TM)scanning exposure tool to the KrF portfolio. We discuss advances in the system design and elaborate on its imaging and overlay performance. It is shown that stable tool performance supports 80nm resolution volume manufacturing. Extendibility with polarization towards sub-80nm is also addressed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.