The main challenge in ArF lithography is to reduce cost of ownership (CoO) because increase in multi-patterning process is generally required to obtain a fine pattern. As a consequence, industry strongly requires ArF lithography process with a fast scan speed scanner and low defectivity material for CoO. The breakthrough technology to improve defectivity and resolution simultaneously was the polarity-change property of film surface from hydrophobic to hydrophilic after alkaline development process because a property after development process should be only associated with defectivity, not fast scan speed. The materials with high polarity change function were explored to EUV process to achieve low defectivity with good lithography performances.
Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is expected to have 1.6 times higher EUV absorption than polyhydroxystyrene based on calculation. However, observed value of acid amount was comparable or significantly worse than polyhydroxystyrene.
Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel
technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study
showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate
(nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch
around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its
resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We
investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with
a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its
extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller
dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse
only in case of using new rinse solvent of FN-RP311.
Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were
described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was
obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster
photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with
maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of
which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using
NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI compared to PTI, that
is, only 36 nm contacts with 60 nm pitch was resolved under EUV exposure.
Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI)
developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according to the acid yield increase, but resolution was significantly degraded.
Chemically amplified resist materials with a different sensitivity were prepared to investigate impact of sensitivity on
resolution at 15 nm half-pitch (hp) using a EUV micro-field exposure tool (MET) at SEMATECH Berkeley. Sensitivity
at least slower than 30 mJ/cm2 was required to resolve 15 nm hp patterns using current EUV resists. It is noteworthy that resolution of 15 nm hp was limited by not only pattern collapse but also pinching of patterns. The same tendency is observed in E-beam patterning at 20 nm hp. A strong relationship between pinching and sensitivity in E-beam exposure indicates contribution of photon-shot noise on the pinching. Clear correlation between diffusion length and pinching using the E-beam exposure indicates that acid diffusion is another contributor on the pinching. Bound PAG into polymer and molecular PAG with a big anchor group showed almost same character on pinching. Key conclusion here is even in a molecular PAG, we can control acid diffusion to achieve 15 nm hp resolution capability. Strategy to improve sensitivity is to utilize resist with high deprotection efficiency. Polymer with a low thermal activation energy on deprotection (low Ea polymer) was demonstrated as a key technology to achieve 15 nm hp resolution with a faster sensitivity below 26 mJ/cm2. Special rinse material was effective for reducing LWR by ~ 20%. Sensitivity dependency of outgassing have been systematically discussed at first. A good linear correlation between a cleanable outgassing amount and exposure energy strongly indicates tradeoff relationship between outgassing and sensitivity. Applying a new EUV topcoat to resist demonstrated reduction of outgassing from 7.39 nm to below 0.1 nm with maintaining resolution.
The influence of resist formulation parameters on line width roughness (LWR) and line edge roughness (LER) were studied systematically. Studied parameters were photoacid generator (PAG) loading, blended versus polymer bound PAG type, PEB temperature, molecular weight of polymer, quencher pKb, molecular size, hydrophobicity, and acid amplifier effect. We found an optimum PAG loading point and post-exposure bake (PEB) temperature. Blending and bound-PAG types gave a similar LWR number at the optimum loading, however, bound-PAG needed much larger dose to size. There was an optimum Mw, below which gave worse LWR, and above which required a larger dose to size. , It was difficult to see a difference of LWR for different types of quencher, however, there was a weak trend of better pattern profile with less basic quenchers. The resists that had acid amplifier in them gave a worse pattern profile and LWR number. From these studies, we found that optimization of PAG loading and quencher type are very important, and baking process optimization is also very important to obtain the best LWR number.
A series of polymer with different hydrophobicity have been synthesized to investigate effects of contact angle on
ultimate resolution. Contact angle of these polymers was widely changed by utilizing polymers having a different
chemical structure and protection ratio. It is revealed that resolution of 20 nm half-pitch (hp) was limited by pattern
collapse and improved by increasing contact angle of polymer under E-beam exposure. It is noteworthy that resolution of
20 nm hp and below for current EUV resists with exposures on a NXE:3100 and a micro-field exposure tool (MET) at
SEMATECH Berkeley was also limited by pattern collapse. Low diffusion character of current EUV resists with
controlled PAG design, especially polymer bound PAG (PBP), suppressed degradation of resolution by chemical blur.
Combination of high contact angle polymer with low diffusivity PAG showed resolution of 18 nm hp using a MET at
SEMATECH Berkeley with a LWR of 2.6 nm, sensitivity of 20 mJ/cm2, and Z-factor of 3.9 × 10-9 mJnm3. Unfortunately
density of blob defect for the hydrophobic polymer was above 100 counts/cm2. Hydrophobicity of film surface was
found to be a main cause of its poor defectivity. Adding the new EUV additive to the hydrophobic resist reduced density
of blob defect from 100 counts/cm2 to below 0.1 counts/cm2.
Blurs, swelling properties and lithographic performance for polymer bound PAG and polymer PAG blended type resists
were studied. A Blur strongly depends on PAG size and the polymer bound PAG type resist reduces the Blur. The Blur
for the polymer bound PAG type resist is smaller than that for ZEP (non CAR). That indicates that polymer bound PAG
should reduce secondary electron diffusion. The polymer bound PAG type resist acquires very small Blur with higher
sensitivity and suppresses swelling very well, therefore polymer bound PAG is one of the promising technologies that
improve Resolution, LWR and sensitivity (RLS) property. RLS property on EUV exposure tool is significantly
improved by using the polymer bound PAG type resist. Resolution reaches 24nmhp and is limited by pattern collapse
and line breaking. Further lithographic experiments on EB exposure tool which has higher NILS than EUV exposure
tool were carried out in order to make clear relation between Blur and resolution. The resolution of the polymer bound
PAG type resist reaches 17.5nmhp with 35nm thickness and there is possibility that the resolution of an optimized
polymer bound PAG type resist reaches under 15nmhp. The resolution of the resist with lower capillary force (C.F.)
given and lower swelling and on higher NILS exposure tool strongly depends on the Blur.
EUV lithography is the most promising new technology for the next node of semiconductor devices. Unfortunately, the
high energy photons are likely to generate more contamination than observed with ArF or KrF light which can reduce the
transmission of the EUV optics. Resist outgassing is considered to be an important contamination source, however, not
enough is known about the way a resist composition influences the contamination growth rate, while this information is
crucial to guide the development of EUV resists.
To reduce the knowledge gap, FUJIFILM and imec started a joint effort aimed at systematically exploring the
contribution of the different resist components and at understanding the effect of chemical modifications of the different
components on the contamination tendency of resists. The project focuses on (1) the identification and quantification of
the outgassing components from resist by RGA measurements, (2) on the quantification of the resist related
contamination rate by witness sample (WS) testing, and (3) on the correlation between these two results knowing the
details of the resist chemistry.
To explore the effect of the resist composition upon contamination growth, the following approach was followed. The
focus was put on chemically amplified resists (CAR), since this chemistry is mostly used in EUV lithography. Both
PAG blended as well as PAG bound systems were explored, and the following resist components are individually varied:
polymer matrix, blocking groups, PAG type and concentration. In this way the total contamination of a resist can be
divided into the separate contributions of the different resist components upon the contamination growth rate, which is a
huge step forward in the understanding of optics contamination due to resist.
Fundamental studies on polymer bounded PAG and polymer - PAG blend type were carried out with the viewpoint of
dissolution property, lithographic performance, and blur. These materials were prepared to be able to directly compare
and to discuss the difference between blend and bounded PAG, with different PAG loading amount. Dissolution
property revealed the clear difference of these materials tendency to the PAG loading amount variation. Lithographic
performance difference corresponds to the dissolution property difference, and there found the strategy to improve
lithographic performance with polymer bounded PAG type resist. Blur study suggests the advantage in polymer bounded PAG in resolution.
Several methods to improve sensitivity of EUV resist, with a couple of key points of acid generation efficiency and deprotection
reaction efficiency. Larger loading of PAG to increase the secondary electron absorption possibility, cation
unit design to lower the lowest unoccupied molecular orbital of cation, and lowering ionization potential of polymer to
enable efficient secondary electron generation, were discussed in the viewpoint of acid generation efficiency. Larger
size of anion structure design on PAG was applied to special formulation of small loading of quencher to minimize
necessary generated acid concentration to give enough de-protection reaction amount, and to higher PEB temperature
resist process to maximize de-protection reaction efficiency.
EUV lithography (EUVL) is a leading candidate for printing sub-32 nm hp patterns. In order for EUVL to be
commercially viable at these dimensions, a continuous evolution of the photoresist material set is required to
simultaneously meet the aggressive specifications for resolution, resist sensitivity, LWR, and outgassing rate.
Alternative PAG designs, especially if tailored for EUVL, may aid in the formation of a material set that helps
achieve these aggressive targets. We describe the preparation, characterization, and lithographic evaluation of
aryl sulfonates as non-ionic or neutral photoacid generators (PAGs) for EUVL. Full lithographic
characterization is reported for our first generation resist formulation using compound H, MAP-1H-2.5. It is
benchmarked against MAP-1P-5.0, which contains the well-known sulfonium PAG, triphenylsulfonium
triflate (compound P). Z-factor analysis indicates nZ32 = 81.4 and 16.8 respectively, indicating that our first
generation aryl sulfonate formulations require about 4.8x improvement to match the results achieved with a
model onium PAG. Improving the acid generation efficiency and use of the generated byproducts is key to
the continued optimization of this class of PAGs. To that end, we believe EI-MS fragmentation patterns and
molecular simulations can be used to understand and optimize the nature and efficiency of electron-induced
PAG fragmentation.
EUV lithography performances of resist materials with different molecular weight of polymer were investigated. EUV
exposure experiment using a SFET at Selete clearly showed that line-width roughness (LWR) and 1:1 half-pitch (hp)
resolution were each improved using the polymers with middle and low molecular weights. These polymers showed high
dissolution contrast relative to polymer with high molecular weight. Mask linearity data also showed that the polymer
with low molecular weight gave a linear dependence on critical dimension (CD) against mask size down to hp 26 nm.
Thermal analysis of resist film revealed that thermal glass transition temperature (Tg) was dramatically decreased from
190 °C to 110 °C with decreasing molecular weight from high to low. In contrast with Tg which directly reflects
mobility of polymer, exposure latitude (EL) was increased from 12.3% to 14.5% at hp 32 nm by decreasing molecular
weight of polymer. Similarly, iso-dense bias was also improved by utilizing the low molecular weight polymer.
Combination of PAG-B with the low molecular weight polymer caused further improvement in mask linearity, EL, and
iso-dense bias at hp 32 nm, although LWR was rather increased.
Polymer ionization and reductive sensitization of PAG play an important role for acid generation in EUV lithography.
We have systematically investigated effects of PAG structure, polymer structure and their loadings on sensitivity of EUV
resists. With an increase in PAG loading, both sensitivity and acid generation yield were successfully improved, however,
these were saturated at higher PAG loadings. Least-square fitting of sensitivity as a function of PAG loading, polymer
loading and quencher loading indicates that both PAG and polymer have a positive effect on sensitivity improvement,
and contribution ratio of polymer to PAG on sensitivity is estimated as 1 to 2. This indicates that decrease of polymer
loading in place of increasing PAG loading reduce ionization frequency of polymer. To further improve sensitivity, we
have synthesized a series of PAGs to clarify how large the electron affinity of PAG affects acid generation yield. A
linear relationship between the reduction potential of PAG and EB sensitivity clearly revealed that the strong electron
affinity of PAG causes both high acid yield and sensitivity. To further increase acid generation yield, we have
synthesized a series of polymers to clarify how polymer structure affects sensitivity. Actually, acid generation yield and
sensitivity were both improved by using a newly developed polymer in EUV lithography.
A series of photoacid generator (PAG) with different anion size have been synthesized in order to investigate effects of
diffusion length on exposure latitude (EL), resolution and line-width roughness (LWR) under EB and EUV exposure.
Diffusion length measurement of these PAGs using a model bi-layer experiment revealed that acid diffusion length could
be significantly reduced from 1.1 x 103 nm to 13.5 nm at PEB of 120 °C for 90 sec by utilizing the PAG having the
biggest anchor group into anion. According to the suppression of acid diffusion, EL for both dense line and isolated line
were well improved with maintaining high sensitivity under EB exposure. On the other hand, the relation between
sensitivity and LWR were not improved at all. The same trend was also observed under EUV exposure. It is noteworthy
that resolution was significantly improved by utilizing the PAG that shows the shortest acid diffusion length. As a result,
relation between resolution and LWR was improved, and the Z-factor, which represents resist performance quantitatively,
were also improved with the range from 9 % to 51 % by utilizing the PAG with shortest diffusion length into various
resist formulations.
A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed to form narrow trench and contact hole patterns, which is promising for double patterning process, since it is difficult to obtain sufficient optical image contrast to print narrow trench or contact hole below 60 nm pattern size with positive tone imaging. No swelling property in the developing step realized low LWR number at 32 nm trench patterns. Uniform de-protection ratio through the depth of resist film reduced cuspy resist pattern profile causing
micro-bridges at narrow trench pattern, and low frequency LWR number down to 2.4 nm. High resolution potential
was demonstrated with 38 nm dense S/L under 1.35 NA immersion exposure. Better CD uniformity and LWR number
of trench pattern were obtained by negative tone development (NTD) process with comparison to positive tone
development (PTD) process. Excellent defect density of 0.02 counts/cm2 was obtained for 75 nm 1:1 S/L by
combination of 0.75 NA dry exposure and NTD process combination. NTD process parameters impacts to defectivity
were studied.
A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is
proposed for narrow trench pattern formation, which is effective to the double trench process that is one of the
candidates of double patterning process for 32 nm node semiconductor devices. No swelling property was realized in
the developing step, in which the dissolution mechanism was discussed. Significantly better LWR and resolution on
narrow trench pattern were observed with this negative tone development compared to positive tone development.
These results suggest that this negative tone development process is one of the promising candidates for double trench
process. Feasibility of double development with negative and positive development process was evaluated as a
candidate for pitch frequency doubling process, and quite low k1 number of 0.23 was obtained.
It is generally believed that the chemically amplified reaction between photo-generated acid catalyst and acid labile
group of polymer during post-exposure bake (PEB) process plays a critical role for the reduction of line width roughness
(LWR) in ArF lithography. In this work, we revealed experimentally how large the chemically amplified reaction affects
LWR, and developed a new resist system with low LWR. Aerial image contrast dependence on LWR revealed that the
innate LWR in a conventional ArF photoresist, which is independent of the aerial image contrast, was 5 nm. Surface
roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by
AFM. The surface roughness increased from 1.7 nm to 10.8 nm during PEB process. The half-exposed area was baked
and again dissolved into organic solution, and spin-coated on Si wafer, and then developed with 2.38 % TMAH solution.
The recoated half-exposed resist film caused a 60 % reduction on the surface roughness. It revealed that uniform
distribution of deblocked polymer was important factor for roughness reduction. HPLC analysis indicated that
distribution of acidic group formulation in the polymer was gradually extended with increasing exposure dose. A Resist
system that suppresses the chemically amplified reaction successfully reduced LWR from 6.5 nm to 4.8 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.