According to the design rule shrinkage, more precise control of mask CD, including mean to target and uniformity, is
required in lithography process. Since dry etching is one of the most critical processes to determine CD qualities in
photomask, optical emission spectroscopy (OES) to monitor plasma status during dry etching process could be useful.
However, it is not possible to obtain distributional information of plasma with a conventional OES tool because the OES
acquires totally integrated signals of light from the chamber. To overcome the limit of OES, we set up a spatially
resolved (SR)-OES tool and measure the distribution of radicals in plasma during dry etch process. The SR-OES consists
of a series of lenses, apertures, and a pinhole as a spatial filter which enable us to focus on certain area in the chamber, to
extract the emitted light from plasma, and to perform the spectroscopic analysis. The Argon based actinometry combined
with SR-OES shows spatially distinguished peaks related to the etch rate of Chromium on photomask. In this paper, we
present experimental results of SR-OES installed on a commercial photomask dry etcher and discuss its practical
effectiveness by correlation of the results with chamber etch rate.
Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native extreme ultraviolet (EUV) mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability they cause. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a multilayer growth model based on a level-set technique was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. Further, the printability of the characterized native defects was studied at the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory. Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model, was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape.
KEYWORDS: Photomasks, Extreme ultraviolet, Multilayers, Chemical species, Extreme ultraviolet lithography, Inspection, Monte Carlo methods, Computer simulations, Waveguides, Transmission electron microscopy
The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.
Ruthenium (Ru) film used as capping layer in extreme ultraviolet (EUV) mask peeled off after annealing and in-situ UV (IUV) cleaning. We investigated Ru peeling and found out that the mechanical stress caused by the formation of Si oxide due to the penetration of oxygen atoms from ambient or cleaning media to top-Si of ML is the root cause for the problem. To support our experimental results, we developed a numerical model of finite element method (FEM) using commercial software (ABAQUS™) to calculate the stress and displacement forced on the capping layer. By using this model, we could observe that the displacement agrees well with the actual results measured from the transmission electron microscopy (TEM) image. Using the ion beam deposition (IBD) tool at SEMATECH, we developed four new types of alternative capping materials (RuA, RuB, B4C, B4C-buffered Ru). The durability of each new alternative capping layer observed by experiment was better than that of conventional Ru. The stress and displacement calculated from each new alternative capping layer, using modeling, also agreed well with the experimental results. A new EUV mask structure is proposed, inserting a layer of B4C (B4C-buffered Ru) at the interface between the capping layer (Ru) and the top-Si layer. The modeling results showed that the maximum displacement and bending stress observed from the B4C-buffered Ru are significantly lower than that of single capping layer cases. The durability investigated from the experiment also showed that the B4C-buffered structure is at least 3X stronger than that of conventional Ru.
In EUV Lithography, an absence of promising candidate of EUV pellicle demands new requirements of EUV mask cleaning which satisfy the cleaning durability and removal efficiency of the various contaminations from accumulated EUV exposure. It is known that the cleaning with UV radiation is effective method of variety of contaminants from surface, while it reduces durability of Ru capping layer. To meet the expectation of EUV mask lifetime, it is essential to understand the mechanism of Ru damage. In this paper, we investigate dominant source of Ru damage using cleaning method with UV radiation. Based on the mechanism, we investigate several candidates of capping to increase the tolerance from the cycled UV cleaning. In addition, we study durability difference depending on the deposition method of Ru capping. From these studies, it enables to suggest proper capping material, stack and cleaning process.
KEYWORDS: Finite-difference time-domain method, Photomasks, Chemical species, Transmission electron microscopy, Multilayers, Extreme ultraviolet lithography, Extreme ultraviolet, Monte Carlo methods, Atomic force microscopy, Inspection
Availability of defect-free masks is considered to be a critical issue for enabling extreme
ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free
masks will be hard to achieve, it is essential to have a good understanding of the defect
printability as well as the fundamental aspects of a defect that result in the defects being printed.
In this work, the native mask blank defects were characterized using atomic force microscopy
(AFM) and cross-section transmission electron microscopy (TEM), and the defect printability of
the characterized native mask defects was evaluated using finite-difference time-domain (FDTD)
simulations. The simulation results were compared with the through-focus aerial images obtained
at the SEMATECH Actinic Inspection Tool (AIT) at Lawrence Berkeley National Lab (LBNL)
for the characterized defects. There was a reasonable agreement between the through-focus
FDTD simulation results and the AIT results. To model the Mo/Si multilayer growth over the
native defects, which served as the input for the FDTD simulations, a level-set technique was
used to predict the evolution of the multilayer disruption over the defect. Unlike other models
that assume a constant flux of atoms (of materials to be deposited) coming from a single
direction, this model took into account the direction and incident fluxes of the materials to be
deposited, as well as the rotation of the mask substrate, to accurately simulate the actual
deposition conditions. The modeled multilayer growth was compared with the cross-section
TEM images, and a good agreement was observed between them.
In the absence of a pellicle, an EUVL reticle is expected to withstand up to 100 cleaning cycles. EUVL reticles
constitute a complex multi-layer structure with extremely sensitive materials which are prone to damage during
cleaning. The 2.5 nm thin Ru capping layer has been reported to be most sensitive to repeated cleaning, especially
when exposed to aggressive dry etch or strip chemicals [1]. Such a Ru film exhibits multiple modes of failure under
wet cleaning processes. In this study we investigated the Ru peeling effect. IR-induced thermo-stress in the multilayer
and photochemical-induced radical attack on the surface are investigated as the two most dominant
contributors to Ru damage in cleaning. Results of this investigation are presented and corrective actions are
proposed.
We describe SEMATECH’s recent defect printability work categorizing native phase defects by type and dimension
using a NXE3100 EUV scanner and DPS (Defect Printability Simulator) software developed by Luminescent
Technologies. Since the critical dimension (CD) error on a wafer simulated by the DPS is strongly affected by the
multilayer (ML) geometry, it was very important to select the optimal multilayer (ML) growth model for each defect. By
investigating the CD results obtained from 27 nm HP node imaging on NXE3100 and comparing those with simulation
results, it was clear that reconstructed ML geometry generated by the AFM measurement showed much better simulation
accuracy than conformal ML geometry. In order to find a typical ML growth model to predict the best ML geometry for
a given dimension and height of defect, we calibrated a general ML growth model with AFM data and obtained ML
growth model parameters. Using the fitted ML geometry generated from ML growth model parameters, CD error for 22
nm HP node was simulated and the result showed that conformal ML geometry is good for 24 nm defect simulation
while not appropriate for 36 nm defect simulation.
We describe the printability of native phase defects categorized by type and dimension using NXE3100 EUV scanner
and DPS (Defect Printability Simulator) software developed by Luminescent Technologies. The critical dimension (CD)
error on wafers simulated by the DPS is strongly affected by the geometry of the multilayer (ML) used as an input
parameter for simulation. This finding is supported by cross section images of the ML acquired from transmission
electron microscopy (TEM) showing that the diameter of the defect and geometry of the ML are closely related.
Accordingly, the selection of the type of ML geometry seems to be important in the accuracy of defect printability
simulation. The CD error simulated from the DPS using reconstructed ML geometry shows better correspondence with
that measured on a wafer than conformal or smoothed ML geometry. The DPS software shows good simulation
performance in predicting defect printability at 27nm HP node. This is verified by wafer printing and RCWA simulation.
With each new generation of e-beam mask writers comes the ability to write leading edge photomasks with improved patterning performance and increased throughput. However, these cutting-edge e-beam tools are often used with older generation resists, preventing the end-user from taking full advantage of the tool’s potential. The generation gap between tool and resist will become even more apparent with the commercialization of multi-beam mask writers, which are expected to be available for pilot line use around 2015. The mask industry needs resists capable of meeting the resolution, roughness, and sensitivity requirements of these advanced tools and applications.
The E-beam Resist Test Facility (ERTF) has been established to fill the need for consortium-based testing of e-beam resists for mask writing applications on advanced mask writers out to the 11nm half-pitch node and beyond. SEMATECH and the College of Nanoscale Science and Engineering (CNSE) began establishing the ERTF in early 2012 to test e-beam resist samples from commercial suppliers and university labs against the required performance metrics for each application at the target node. Operations officially began on June 12, 2012, at which time the first e-beam resist samples were tested. The ERTF uses the process and metrology infrastructure available at CNSE, including a Vistec VB300 Vectorscan e-beam tool adjusted to operate at 50kv. Initial testing results show that multiple resists already meet, or are close to meeting, the resolution requirements for mask writing at the 11nm node, but other metrics such as line width roughness still need improvement.
An overview of the ERTF and its capabilities is provided here. Tools, baseline processes, and operation strategy details are discussed, and resist testing and benchmarking results are shown. The long-term outlook for the ERTF and plans to expand capability and testing capacity, including resist testing for e-beam direct write lithography, are also discussed.
38nm half pitch pattern was replicated from Si master pattern to quartz blank template. It is a novel approach different
from typical quartz to quartz replication. This replication concept is expected to alleviate the burden not only in cost but
also resolution for NIL template fabrication. In this study, full field Si master fabricated by ArF immersion lithography,
UV-transparent hard mask for quartz blank template and core-out quartz blank template were applied to prove the
concept. And the replica template was evaluated with NIL and subsequent etching.
As the device design rule shrinks, photomask manufacturers need to have advanced defect controllability during the Cr
and MoSi etch in the process of phase shift mask(PSM). In order to decrease the number of defects, which may be
originated from the mechanical transferring, plasma ignition and cross-contamination of resist stripping or cleaning
process, a novel plasma etching process was developed in a commercial photomask etcher. In this process named as the
"In-situ. etching", Cr and Mosi is etched stepwise in a chamber. The In-situ. etching processes produce better defect
level than that of the conventional process without deteriorating other mask quality such as CD performance, profile and
process reproducibility.
Particle generated by plasma ignition in in-situ. etching lead to defect which is an obstacle in Cr etch. Because plasma is
stable from Cr etch to Mosi etch, no defect is added in Mosi etch. Furthermore quantitative analysis of by-products
deposited and eroded by the chamber position shows that by-products are comprised of Al, chlorine, carbon. These byproducts
can be removed by fluorine-containing plasma.
A patterned TaN substrate, which is candidate for a mask absorber in extreme ultra-violet lithography (EUVL), was
etched to have inclined sidewalls by using a Faraday cage system under the condition of a 2-step process that allowed the
high etch selectivity of TaN over the resist. The sidewall angle (SWA) of the patterned substrate, which was in the shape
of a parallelogram after etching, could be controlled by changing the slope of a substrate holder that was placed in the
Faraday cage. The performance of an EUV mask, which contained the TaN absorber of an oblique pattern over the
molybdenum/silicon multi-layer, was simulated for different cases of SWA. The results indicated that the optical
properties, such as the critical dimension (CD), an offset in the CD bias between horizontal and vertical patterns (H-V
bias), and a shift in the image position on the wafer, could be controlled by changing the SWA of the absorber stack. The
simulation result showed that the effect of the SWA on the optical properties became more significant at larger
thicknesses of the absorber and smaller sizes of the target CD. Nevertheless, the contrast of the aerial images was not
significantly decreased because the shadow effect caused by either sidewall of the patterned substrate cancelled with
each other.
Dry etching process is widely used in semiconductor field and in photomask manufacturing. Even though dry etching technique can be much better in obtaining straight profile and better CD (Critical Dimension) uniformity than wet etching technique, it has a severe problem in terms of defect issue. Especially, very tough controllability of defects is essential for the photomask dry etching process because defect can be printed on the wafer over. Therefore, we studied defect free photomask etching techniques and found out the possibility of particle evasion. With In-situ etching method, defect generation by MoSiON etching could be reduced compared to when standard etching process is used while the process result is almost same as that of the standard process.
In this paper, we will present the experimental result of in-situ. dry etching process technique for Cr and MoSiON, which reduces the defect level significantly.
Quartz dry etching is critical to realize the resolution enhancement technology (RET) mask, such as chromeless phase lithography (CPL) mask, alternating phase shift mask, and RIM type phase shift mask. Quartz etching is one of challenging processes in photomask manufacturing due to the absence of etch stopper. The requirements of quartz etching are sidewall angle, phase uniformity, depth linearity, and micro/macro loading effect in wide range of feature sizes. In this paper, we will discuss the improvement of quartz dry etching using Cr hardmask without any hardware modifications. We can control the tendency of phase uniformity across mask surface in convex or concave curvature with nearly vertical sidewall angle. Two-step quartz etching recipe, which consists of two kinds of sub-etching recipe, is introduced to meet the phase uniformity and quartz profile at the same time. We have optimized quartz dry etching with vertical sidewall angle, low depth uniformity, and low micro/macro loading effect.
As the design rule is decreased rapidly, tighter critical dimension (CD) control is highly requested in photomask process. Accordingly, instead of wet etching to make an isotropic pattern, dry etching has been increasingly applied for an anisotropic pattern transfer in order to get an accurate critical dimension (CD). Since the dry etching process was employed for the fabrication of photomask, particles in plasma reactor has been a big issue. It is being currently recognized that the splinters of polymers, defectively stunk on the reactor wall leading to the particles as plasma is ignited by radio-frequency (RF) power. Hence, wet cleaning used to be performed for the purpose of removing the particle source. Nevertheless, this method is not able to remove particles perfectly in the plasma reactor. Frequently the number of the particle is not changed before and after wet cleaning, particularly in ICP reactor. In this paper, we studied the characteristics of the plasma chemistry and the behavior of ions in ICP reactor. The origin of the particle generation was investigated by the analysis of the composition and configuration of particles. Finally, solutions will be suggested to reduce the particle with low damage on the insulator based on the above studies.
In photomask manufacturing, etch loading effect is one of the most serious problems. The equal size of isolated clear patterns, each of which is surrounded by different pattern density, can show different CD (critical dimension) results after Cr etching process. Furthermore, as the feature size decreases and pattern density increase, the burden of Cr loading effect in mask fabrication is more enlarged than ever. In this paper, we will present the new method for approaching to the loading free process in photomask Cr dry etch.
As the feature size of integrated circuits decreases, it is difficult to have a good resolution with an ordinary lithography technology. Resolution enhancement technologies (RETs), therefore, become prominent way to achieve better resolution. Among various RETs, Phase Shift Mask (PSM) can be one of the most useful technologies in these days and especially Chrome-Less Mask (CLM) or Phase Edge PSM (PE-PSM) is used for utilizing strong effect of PSM technology. In manufacturing the CLM or PE-PSM, the quartz layer of the photomask should be etched to 2480 in depth which is the equivalent value in phase, 180°. But quartz etch is one of the difficult processes in photomask manufacturing due to the absence of stopper layer. Moreover, the depth uniformity should be controlled within the tolerance of 5°. But there are etch rate variations from center to edge positions within the 6-inch mask area which originates from the deficit of plasma uniformity. As a result, phase deviation in those area occurs after quartz etch up to several degrees in phases and this problem makes the manufacturing of CLM or PE-PSM difficult. We thought there would be some relations between etch rate uniformity and hardware, such as focus ring which is used for confinement of plasma species. Various experiments, therefore, were executed with regard to the type of focus ring (shape, and height). As a result, the outstanding tendencies which show the relations, can be obtained. On this paper, the detailed descriptions of the experiments and their results will be presented.
As the feature size of integrated circuits shrinks, the demands for the critical dimension (CD) uniformity on wafers are becoming tighter. In the era of low k1, moreover, mask CD uniformity should be controlled even more stringently due to the higher mask error enhancement factor (MEEF). Mask CD non-uniformity can originate from several sources which include photomask blanks and mask-making processes (exposure, post-exposure bake (PEB), development, and etch processes). Analyzing the CD error sources and eliminating the origins are very important tasks in optimization of mask-manufacturing processes. In this paper, we focus on the side error in mask CD uniformity and present a simple method for separating and evaluating the origins. Especially, quantitative analysis of the side errors induced by photomask blanks and mask-making processes, respectively, is given. Photomask blanks are found to be one of the main sources of the side error and it is shown that the temperature distribution of the PEB process during the ramp-up as well as the stable period should be maintained uniformly for chemically amplified resist (CAR) blanks in order to reduce the process-induced side error.
An analytical approach to X-phenomenon in alternating phase-shifting masks is given in the framework of the thin-mask approximation. We present an analytical expression for the focus-dependent intensity imbalance between 0° and 180° phase regions when there exists relative phase error. It is shown that X-phenomenon results from the interference between 0th diffracted order, which originates from the phase error and has an in- or out-of-phase component with respect to the ±1st diffracted orders depending on the defocus directions, and the ±1st diffracted orders. Dependences of the intensity imbalance on the phase error and the duty ratio of the structure are given.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.