Defect printability and inspection studies were conducted on a programmed EUV defect mask. The mask was fabricated using Ta-based absorber stack on a Mo/Si multilayer coated 6025 plate. The defect pattern contains a variety of types of defects. The defect printing was performed on the Engineering Test Stand (ETS), which is the 0.1 NA EUV scanner at Sandia National Laboratories in Livermore, CA. The result showed that the printability of defects depended on the defect type and that either notches in or protrusions from absorber lines were the first to print. The minimum printable defect size was approximately 15 nm (1X). Defect inspection was performed on a 257-nm wavelength mask inspection system in die-to-die mode. Seventy-eight out of 120 programmed defects were detected when using 50% detection sensitivity. Maximum detection sensitivity was also tried. However, the number of defects is overwhelmed by the nuisance defects. The minimum defect detected was 52 nm in width. Simulations with a 2-D scalar model are used to verify the results.
Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 32-nm node. The concept of EUV PSM structures can be implemented either by adding absorber structures on top of the Mo/Si multilayers (additive approach) or by partial etching into the multilayers (subtractive approach). Among many technical challenges, evaluating optical constants of absorber materials is the most important issue particularly for PSM by the additive approach (PSM-ADD), while the etch stop capability and the etch selectivity with vertical sidewall profile are the main technical challenges for PSM fabricated by the subtractive method (PSM-SUB). For fast turn around of PSM development, the indirect optical constant evaluation through material analysis is a useful metrology technique. The optical constant of TaSiN extracted from Rutherford Backscattering Spectroscopy (RBS) data agrees well with that obtained from the direct measurement by transmission interferometric technique. For PSM-SUB, the concept of embedding B4C and NiFe etch stop layer (ESL) is verified by measuring reflectivity of the ESL embedded substrates and demonstrating good etch stop capability.
We have exposed 10 wafers on the Engineering Test Stand (ETS), the 0.1 NA EUV scanner at Sandia National Laboratories in Livermore, CA. The EUV reflective mask was fabricated in-house using a Ta-based absorber stack on Mo/Si multilayers. The printed wafers contained different line sizes and pitches, line-end shortening measurement structures, contact holes, and patterns for estimating absorber defect printability. The depths of focus of each feature are typically 2 um due to the small NA of the scanner, and these should decrease by at least a factor of 6.25 as the NA's increase to 0.25. The data from measurements of line size through pitch and line-end shortening test structures indicate that both 1D and 2D optical proximity correction will be required. Defects that are either notches in or protrusions from absorber lines are the first to print, and they begin to print when they reach approximately 15~nm (1X) in size. This size threshold is in accordance with the 2003 ITRS specifications. We also report the first printing of SRAM bitcells with EUV lithography.
We report in this work both experimental and theoretical results showing the effects of reticle absorber reflectivity on standard flare measurements, image formation, and how this may contribute to various image metrics used in lithography. Our study shows that under typical conditions the reflectance from the absorber film has only a small effect on the image produced by the exposure system and therefore should not limit lithography.
The next suite of optical lithography tools beyond 193nm will use 157nm irradiation to illuminate the mask pattern onto a semiconductor wafer. As the illumination wavelength decreases, the number of materials that can be used to create attenuated phase shift masks decreases dramatically. Especially the number of materials that maintain constant transmission after prolonged irradiation. The Ta-based and Cr-based materials have been recognized as two such sets of materials that remain optically unchanged due to prolonged VUV irradiation. Optical characterization of these materials by spectroscopic ellipsometry has been used to simulate several material systems to achieve proper transmission and phase shift while simultaneously improving the inspection contrast of the patterned mask. Both simulation and experimental results will be presented for Ta-based and/or Cr-based material systems that maintain relatively constant transmission for more than 50 million pulses under 157nm irradiation.
We report in this work experimental and theoretical results showing the effects of absorber reflectivity on standard flare measurements, image formation and how this may contribute to various image metrics used in lithography. Our study shows that under typical conditions the reflectance from the absorber film has only a small effect on the image produced by the exposure system.
We have studied the EUV mask absorber stack materials stability under extended EUV radiation exposure using the Synchrotron facility located at Aladdin Synchrotron Radiation Center of UW-Madison. The DUV reflectivity was measured at the area where the absorber stack was exposed to EUV radiation for different period of time to understand the impact on mask inspection during use and potential radiation damage. The longest exposure time simulated 2 million EUV exposure shots based on the resist sensitivity of 5 mJ/cm2. After EUV radiation, a significant increase in DUV reflectivity was observed. However, this change may be due to the hydrocarbon contamination from the EUV exposure chamber because an obvious darkening was observed on the exposed area and could be easily removed by a short O2 plasma etching. The experimental data showed that reflectivity was restored after O2 plasma etching and the difference was less than 2%. X-ray photoelectron spectroscopy (XPS) and forward recoil spectrometry also used for the confirmation of hydrocarbon build up during exposure.
In this work, we analyzed resist CD uniformity on 6025 substrates in terms of resist PEB sensitivity, PEB time, temperature variation during ramp up, hotplate vacuum and the application of a chill plate. We found that the resist PEB sensitivity, PEB time and the final temperature were the most important factors. By selecting low PEB sensitive resist and optimizing the bake conditions, the CD uniformity was greatly improved. The temperature profile of the hotplate configuration used for this study will be discussed along with the CD uniformity obtained using this hotplate under various conditions.
Extreme ultraviolet lithography (EUVL) is a leading next generation lithography technology. The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. One of the challenges in implementing EUVL is to economically fabricate multilayer-coated mask blanks with no printable defects. The starting substrates, due to the required tight specifications of flatness and defects, might have a very high manufacturing cost and hence a method to recover these substrates for reuse without compromising the properties will enable a lower cost for the masks. This paper details a potential approach to remove the damaged multilayers from the substrates without compromising the morphology and characteristics of the starting substrate. Furthermore, the process is applicable to optical elements of the EUV projection optics system that have reflective Mo/Si mirrors of various shapes.
As semiconductor device requirements approach the 70 nm lithography node the development and implementation of a next-generation lithography (NGL) technology and the associated masks becomes of paramount importance. We have been developing Extreme Ultraviolet Lithography (EUVL) mask materials and processes. Fabrication of these masks includes the deposition and patterning of an EUV absorber stack. An understanding of the effect of pattern transfer on image placement is required due to the stringent image placement requirements for NGL masks. This article reports the measurement results of image placement caused by the pattern transfer of resist through final image for a candidate EUVL absorber stack using both bright field and dark field patterned 6025 (6” × 6” × 0.25”) masks.
To determine stress related image distortion for EUV masks, an EUV stack consisting of SiON, TaSiN, and Cr was deposited onto Mo/Si coated mask blanks (6025 format) provided by Lawrence Livermore National Laboratory (LLNL). Both dark field and bright field masks were built and the pattern image placement was measured after e-beam lithography and after every etch process. Analysis of the data sets provided the resultant pattern transfer induced image displacement.
Extreme ultraviolet lithography (EUVL) is the leading candidate for next generation lithography with the potential for extendibility beyond the 50-nm node. The inspection contrast of DUV and 193nm optical reticles is essentially 100%; however, EUVL reticles are reflective in nature and do not allow for transmissive inspection. The Mo/Si multilayer (ML) mirror has a reflectivity of 55-60% with 257nm illumination. The reflectivity of the multilayer at the inspection wavelength dictates that the patterned areas of the mask must be dark to achieve high inspection contrast (i.e., 0% reflectivity at the inspection wavelength). Furthermore, the reticle should retain the same tone during the pre-repair stage and the final reticle stage to allow reuse of inspection algorithms and easier defect repair verification. The use of an anti-reflection coating (ARC) on a TaN absorber has been shown . This article will describe additional options for a wide range of anti-reflection coatings and their impact on the design and fabrication of the EUV absorber stack. Both experimental and modeling results will be presented for different absorber stack configurations.
Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.
This paper presents the results of patterned and unpatterned EUV mask inspections. We will show inspection results related to EUV patterned mask design factors that affect inspection tool sensitivity, in particular, EUV absorber material reflectivity, and EUV buffer layer thickness. We have used a DUV (257nm) inspection system to inspect patterned reticles, and have achieved defect size sensitivities on patterned reticles of approximately 80 nm. We have inspected EUV substrates and blanks with a UV (364nm) tool with a 90nm to a 120 nm PSL sensitivity, respectively, and found that defect density varies markedly, by factors of 10 and more, from sample to sample. We are using this information in an ongoing effort to reduce defect densities in substrates and blanks to the low levels that will be needed for EUV lithography. While DUV tools will likely meet the patterned inspection requirements of the 70 nm node in terms of reticle defect sensitivity, wavelengths shorter than 200 nm will be required to meet the 50 nm node requirements. This research was sponsored in part by NIST-ATP under KLA-Tencor Cooperative Agreement #70NANB8H44024.
Extreme ultraviolet lithography (EUVL) is the leading candidate for next generation lithography with the potential for extendibility beyond the 50-nm node. The three-layer absorber stack for EUVL reticles consists of an absorber, repair buffer and etch-stop layers, while a two-layer absorber stack eliminates the etch-stop layer. A portion of the mask pattern distortion can be assigned to the absorber stack's film stress. Ideally, the absorber stack films would have zero stress uniformly across the mask, which would produce zero pattern distortion when the films were removed during the pattern transfer processes. Maintaining adequate thin film stress control and uniformity relies on accurate thin film thickness measurements. The thin film deposition parameters can have a significant influence on the metrology technique's ability to measure the thin film's thickness. We have studied resistive and photonic metrology techniques for absorber stack thin film thickness measurement and stress control.
Substrate or phase defects on EUVL masks are considered non- repairable because they lie underneath or are imbedded in the multi-layer mirror. One defect specification requires that no more than three defects greater than 80nm can be present on a starting substrate. Finding and removing these small defects before multi-layer deposition can be very difficult tasks. It has been shown that very small defects can have an influence on the patterned absorber stack and the printed image from an EUVL system. Substrate defect mitigation using TaSiN smoothing layers has been investigated. Programmed Cr defects were formed using standard semiconductor processing techniques and subsequently buried by the defect mitigating film. Experimental results are presented showing that a sputtering process can be used to deposit very smooth and thick TaSiN films (i.e. less than 0.2-nm RMS surface roughness and greater than 1.5-microns thick) as a substrate defect mitigation layer.
The three-layer absorber stack for EUVL reticles currently consists of an absorber, repair buffer and etch stop layers. The repair buffer should exhibit high etch selectivity during the absorber etch processes (i.e. pattern transfer and focused ion beam (FIB) repair), be thermally and electrically conductive, optimally thin and have high etch selectivity to the silicon-capping layer over the Mo/Si multi-layer mirror. The absorber materials that have been studied in the past are TaSiN and Cr with SiON as the repair buffer on top of a Cr etch stop layer. The SiON repair buffer is insulating, exhibiting low thermal and electrical conductivity. Also, the required thickness for FIB repair is greater than 750 Angstroms using a standard 30-keV Ga+ FIB tool, while the etch selectivity to the silicon capping layer during pattern transfer is less than five to one necessitating a Cr etch stop. A sputtered carbon repair buffer exhibiting the required qualities has been studied. The carbon film is thermally and electrically conductive and exhibits extremely high reactive ion etch selectivity to the silicon-capping layer. Carbon also has the lowest sputter yield out of all the elements opening a larger FIB repair process window without using gas-assisted etching. A conductive repair buffer also prevents the possibility of static charge buildup on the mask that could damage patterns during an electrostatic discharge.
With the acceptance of AAPSM’s by most major semiconductor manufacturers, it is necessary to build a significant number of these masks in a cost effective and controlled manner. Optical methods of metrology used for many years in the photomask industry for binary masks are unsuitable for certain metrology applications related to AAPSM manufacture and repair. Recent work performed on a Dimension 9000M-PM automated atomic force microscope shows promise for both process control and defect review applications for AAPSM’s and overcome some of the limitations of optical and SEM based metrologies. AFM metrology is shown to be able to quantify shifter step heights and phase error for features as small as 100 nm. Further, these systems are able to read in defect coordinate maps and automatically drive to these sites and scan. The scanned data provides pixel-by-pixel height data that can be used by repair tools to establish the proper dose for defect ablation.
Minimizing image placement errors due to thermal distortion of the mask is a key requirement for qualifying EUV Lithography as a Next Generation Lithography (NGL). Employing Low Thermal Expansion Materials (LTEMs) for mask substrates is a viable solution for controlling mask thermal distortion and is being investigated by a wide array of researchers, tool makers, photomask suppliers, and material manufacturers. Finite element modeling has shown that an EUVL mask with a Coefficient of Thermal Expansion (CTE) of less than 20 ppb/K will meet overlay error budgets for <EQ 70 nm lithography at a throughput of 80 wafers per hour. In this paper, we describe the functional differences between today's photomask and EUVL masks; some of these differences are EUVL specific, while others are natural consequences of the shrinking critical dimension. We demonstrate that a feasible manufacturing pathway exists for Low Thermal Expansion Material (LTEM) EUVL masks by fabricating a wafer-shaped LTEM mask substrate using the same manufacturing steps as for fabricating Si wafers. The LTEM substrate was then coated with Mo/Si multilayers, patterned, and printed using the 10X Microstepper. The images were essentially indistinguishable from those images acquired from masks fabricated from high quality silicon wafers as substrates. Our observations lend further evidence that an LTEM can be used as the EUVL mask substrate material.
Extreme Ultra-Violet lithography is one of the leading next generation lithography options. Currently, EUV masks are routinely made of reflective mirrors made of Mo/Si multi- layers, which have a peak reflectivity of 67.5% at a wavelength of 13.4 nm. However, in order to increase the throughput of an EUVL system, a new set of Be-based multi- layers are being developed, which have a peak reflectivity of near 70% at 11.4. The two materials that have recently been developed are Mo/Be and MoRu/Be multi-layers. Beryllium based multi-layer masks show great promise for a significant increase in the lithography system throughput (2 - 3X over the current Mo/Si multi-layer mask) due to their increased reflectivity and bandwidth at 11.4 nm where the xenon laser plasma source is more intense. We have successfully developed a process to fabricate masks using Be-based multi-layers. The absorber stack consists of TaSiN (absorber), SiON (repair buffer) and Cr (conductive etch stop) deposited on the multi- layer mirror. Lawrence Livermore National Laboratory supplied the Mo/Be and MoRu/Be multi-layer mirrors used to fabricate the masks. Completed masks were exposed at Sandia National Laboratories' 10X EUV exposure system and equal lines and spaces down to 80 nm were successfully printed. The paper addresses the issues and challenges to fabricate the mask using Be-based multi-layers and a comparison will be made with the Mo/Si multi-layer mask patterning process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.