To extend directed self-assembly (DSA) of poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for higher resolution, placement accuracy and potentially improved pattern line edge roughness (LER), we have developed a next-generation material platform of organic high-χ block copolymers (“HC series”, AZEMBLYTM EXP PME-3000 series). The new material platform has a built-in orientation control mechanism which enables block copolymer domains to vertically selforient without topcoat/additive or delicate solvent vapor annealing. Furthermore, sub-10 nm lines and spaces (L/S) patterning by two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 12” wafer substrates by using the PME-3000 lamellar series. The results revealed that the new material platform is compatible with the existing PS-b-PMMA-based chemical prepatterns and standard protocols. We also introduced the built-in orientation control strategy to the conventional PS-b-PMMA system, producing a new generation of PS-b-PMMA materials with facile orientation control. The modified PS-b-PMMA (m-PS-b-PMMA) performed LiNe flow DSA yielding a comparable CD process window with improved LER/LWR/SWR after the L/S patterns were transferred into a Si substrate.
Directed self-assembly (DSA) of block copolymers (BCP) has attracted significant interest as a patterning technique over the past few years. We have previously reported the development of a new process flow, the CHIPS flow (Chemo-epitaxy Induced by Pillar Structures), where we use ArFi lithography and plasma etch to print guiding pillar patterns for the DSA of cylindrical phase BCPs into dense hexagonal hole arrays of 22.5 nm half-pitch and 15 nm half-pitch [1]. The ability of this DSA process to generate dense regular patterns makes it an excellent candidate for patterning memory devices. Thus, in this paper we study the applicability of the CHIPS flow to patterning for DRAM storage layers. We report the impact of various process conditions on defect density, defect types and pattern variability. We also perform detailed analysis of the DSA patterns, quantify pattern placement accuracy and demonstrate a route towards excellent LCDU after pattern transfer into a hard mask layer.
The patterning potential of block copolymer (BCP) materials via various directed self-assembly (DSA) schemes has been demonstrated for over a decade. We have previously reported the HONEYCOMB flow; a process flow where we utilize Extreme Ultraviolet Lithography and Oxygen plasma to guide the assembly of cylindrical phase BCPs into regular hexagonal arrays of contact holes [1, 2]. In this work we report the development of a new process flow, the CHIPS flow, where we use ArFi lithography to print guiding patterns for the chemo-epitaxial DSA of BCPs. Using this process flow we demonstrate BCP assembly into hexagonal arrays with sub-25 nm half-pitch and discuss critical steps of the process flow. Additionally, we discuss the influence of under-layer surface energy on the DSA process window and report contact hole metrology results.
Significant progresses on 300 mm wafer level DSA (Directed Self-Assembly) performance stability and pattern quality were demonstrated in recent years. DSA technology is now widely regarded as a leading complementary patterning technique for future node integrated circuit (IC) device manufacturing. We first published SMARTTM DSA flow in 2012. In 2013, we demonstrated that SMARTTM DSA pattern quality is comparable to that generated using traditional multiple patterning technique for pattern uniformity on a 300 mm wafer. In addition, we also demonstrated that less than 1.5 nm/3σ LER (line edge roughness) for 16 nm half pitch DSA line/space pattern is achievable through SMARTTM DSA process. In this publication, we will report impacts on SMARTTM DSA performances of key pre-pattern features and processing conditions. 300mm wafer performance process window, CD uniformity and pattern LER/LWR after etching transfer into carbon-hard mask will be discussed as well.
One of the major challenges associated with insertion of a directed self-assembly (DSA) patterning process in high volume manufacturing (HVM) is finding a non-destructive, yield-compatible, consistent critical dimension (CD) metrology process. Current CD scanning electron microscopy (CD-SEM) top-down approaches do not give the profile information for DSA patterns, which is paramount in determining the subsequent pattern transfer process (etch, for example). SEMATECH, in cooperation with some of the leaders of the metrology and DSA materials supply chain, has led an effort to address such metrology challenges in DSA. We have developed and evaluated several techniques (including a scatterometry-based method) that are potentially very attractive in determining DSA pattern profiles and have embedded bridging in such patterns without resorting to destructive cross-section imaging. We show how such processes could be fine-tuned to enable their insertion for DSA pattern characterization in an HVM environment.
Directed self-assembly (DDSA) of block copolymers ((BCP) is attracting a growing amount of interest as a techhnique to expand traditional lithography beyond its current limits. It has reecently been demonstrated that chemoepitaxy can be used to successfully ddirect BCP assembly to form large arrays off high-density features. The imec DSA LiNe flow uses lithography and trim-etch to produce a “prepattern” of cross-linked polystyrene (PS) stripes, which in turn guide the formation of assembled BCPP structures. Thhe entire process is predicated on the preferential interaction of the respective BCP domains with particular regionss of the underlying prepattern. The use of polystyrene as the guiding material is not uniquely required, however, and in fact may not even be preferable. This study investigates an alternate chemistry –– crosslinked poly(methyl methacrylate), X-PMMA, –– as the underlying polymer mat, providing a route to higher auto-affinity and therefore a stronger guiding ability. In addition to tthe advantages of the chemistry under investigation, this study explores the broader theme of extending BCP DSA to other materials.
To obtain high resolution lithography in semiconductor industry for 45 nm node and beyond, 193 nm immersion lithography is a state-of-the-art technology. The hyper NA process in immersion technology requires unique design of bottom antireflective coating (BARC) materials to control reflectivity and improve lithography performance. Based on simulations, high n low k materials are suitable for BARC applications in hyper NA process. This paper describes the principle of the material development of high n low k BARC materials and its applications in hyper NA lithography process. The BARC material contains a dye with absorbance maximum lower than the exposure wavelength, e.g 170-190 nm. The enhancement of n values due to anomalous dispersion was illustrated by dispersion curves of new BARC materials. The relationship of the optical indices of BARC materials at 193 nm with the absorption properties of dyes was investigated. The novel high n low k materials have shown excellent lithography performances under dry and immersion conditions.
As critical dimensions in integrated circuit (IC) device fabrication continue to shrink to less than 90 nm, designing multi-functional organic bottom anti-reflective coating (BARC) materials has become a challenge. In this paper, we report novel high performance BARC materials which are simultaneously capable of controlling reflectivity, planarizing on substrate surface, low bias filling without forming voids, low outgassing, high etch selectivity with resists and broad compatibility with resists. The new materials comprise of a chromophore that absorbs at 193 nm to give anti-reflective properties. By intriguing design of the crosslinking system to minimize the amount of low molecular weight additives and the by-product formation in the curing process, low-bias and low sublimation filling without formation of voids are achieved. In addition, the performance of the high etch rate BARC material can be further enhanced by blending with a low k high etch rate (~2.4X) material to achieve ultra high etch rate for ArF lithographic process. The filling properties, etch selectivity, lithographic and outgassing data of the new BARC materials will be presented.
Substrate reflectivity control plays an important role in immersion lithography. Multilayer
bottom anti-reflective coatings (B.A.R.C.s) become necessary. This paper will focus on the
recent development in organic ArF B.A.R.C. for immersion lithography. Single layer low k ArF
B.A.R.C.s in conjunction with multilayer CVD hard mask and dual layer organic ArF B.A.R.C.
application will be discussed. High NA dry and wet lithography data will be presented. We will
also present the etch rate data, defect data and out-gassing property of these new B.A.R.C.
materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.