An essential element of sub-15 nm nanoimprint lithography is to create fine patterns on a template. However, it is challenging to create sub-15 nm half-pitch patterns on a template by direct drawing with a resist, owing to poor resolution and low sensitivity. We are currently researching the development of sub-15 nm half-pitch patterns by applying self-aligned double patterning on a template. The defect density of the template has not yet reached a high-volume manufacturing level. The aim of our study is to achieve a defect density of less than 1 pcs/cm2 for sub-15 nm templates. To achieve this, we need to overcome stochastics-induced resist defects. We aim to determine the mechanism of defect formation by observing the details of the defects. We challenged resist-pattern inspections using a grazing-incidence coherent scatterometry microscope, which illuminated an extreme ultraviolet light to the resist pattern and detected the diffraction signal from the pattern. This study was conducted in collaboration with University of Hyogo and Kioxia Corporation. In this paper, we present the results of damage evaluations and resist-pattern inspections.
Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography (NGL) in semiconductors. NIL is very useful technology for fine pattern fabrications compared to conventional optical lithography. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the template is directly transferred to the resist profile on a wafer. In relationship to that, the management of cross-sectional profile in quartz templates is needed much more than that of photomask.
In our past reports, we had studied the performance of measuring cross-sectional profiles using grazing-incidence small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the periodic nanostructure patterns using 2D scattering X-ray intensity distribution. After much research we had found the application to not only sub-20nm hp lines-and-spaces(LS) patterns but also hole patterns was very effective.
We have been developing templates for more scaling, “sub-15nm” by applying Self-Aligned Double Patterning (SADP). We showed the specific issues in SADP and the solution for quality assuranc In this report, we demonstrate the capabilities of measuring the cross-sectional profiles for sub-15 nm patterns using GISAXS. Taking into complicated periodic structures of SADP, we optimize the structural models to meet the demands. This report reveals GISAXS technique has potential for the sub-15nm metrology.
Nanoimprint lithography (NIL) is promising technology for next generation lithography for the fabrication of semiconductor devices. The advantages of NIL are simpler process, less design rule restriction, which lead to lower cost-of-ownership, compared with conventional optical lithography. NIL is one to one lithography and contact transfer technique using template. Therefore template quality variations impact on wafer performance directly. To introduce NIL technology to high volume manufacturing (HVM) of semiconductor devices, improvement of template quality is very important. In the situation of pattern size shrinking, it is necessary to improve CD uniformity and defectivity to achieve the target of HVM. So that high accuracy QA (Quality Assurance) tools are required to qualify CD uniformity and defectivity which are key metrics on high-end template development. In this paper, we show the current status of template development for sub15nm NIL. For the template fabrication, double patterning technologies were applied to extend pattern resolution limit. Template replication was also implemented by template replication system Canon FPA1100-NR2. Finally we will show QA examples for high accuracy template by using key metrics such as CD uniformity, defectivity and Cross-sectional profile.
Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography (NGL) in semiconductors[1][2]. NIL is very useful technology for pattern fabrication in high resolutions and low costs compared to conventional optical lithography[3]. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the template is directly transferred to the resist profile on a wafer. In relationship to that, the management of the cross-sectional profile on the template pattern is much more important than that of photomask[4]. In our past reports, we had studied the performance of measuring cross-sectional profiles using grazing-incidence small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the repeated nanostructure patterns with a 2D X-ray scattering pattern. After much research, we have found the application is very effective using the method of cross-sectional profiling in sub-20 nm half-pitch lines-and-spaces (LS) patterns[5] and additionally in hole patterns[6]. However, regarding the measurement for hole patterns, around a few hours are needed to get one result. We have considered new method for measuring cross-sectional profiles of hole patterns with GISAXS to improve the measurement throughput. We propose the new method to combine GISAXS with SEM images for measuring crosssectional profiles of hole patterns. Using this new method, measurement throughput is achieved less than one hour while almost the same accuracy as the conventional method. We report the results of the cross-sectional profile measurement of hole patterns with new method in comparison to conventional method.
Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography in
semiconductors. NIL is very useful technology for pattern fabrication in high resolution compared to conventional
optical lithography. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the
template is directly transferred to the resist profile on a wafer. Accordingly, the management of the cross-sectional
profile on the template pattern is much more important than on each photomask.
In our previous report, we had studied the performance of measuring cross-sectional profiles using grazing-incidence
small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the repeated nanostructure patterns
with a 2D X-ray scattering pattern. After various researches, we found the application is very effective in the method of
cross-sectional profiling of sub-20 nm half-pitch lines-and-spaces (LS) patterns.
In this report, we investigated the capabilities of measuring cross-sectional profiles for hole patterns using GISAXS.
Since the pattern density of hole patterns is much lower than that of LS patterns, the intensity of X-ray scattering in hole
measurements is much lower. We optimized some measurement conditions to build the hole measurement system.
Finally, the results suggested that 3D profile measurement of hole pattern using GISAXS has sufficient performance to
manage the cross-sectional profile of template. The measurement system using GISAXS for measuring 3D profiles
establishes the cross-sectional profile management essential for the production of high quality quartz hole templates.
KEYWORDS: Nanoimprint lithography, X-rays, Scattering, Quartz, 3D metrology, Scanning electron microscopy, Transmission electron microscopy, Nanostructures, Critical dimension metrology, 3D modeling
Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography in
semiconductors. NIL is very useful technology for pattern fabrication in high resolution compared to conventional
optical lithography. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the
template is directly transferred to the resist profile on a wafer. Accordingly, the management of the cross-sectional
profile on the template pattern is much more important than on each photomask.
In our previous report, we had studied the performance of measuring cross-sectional profiles using grazing-incidence
small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the repeated nanostructure patterns
with a 2D X-ray scattering pattern. After various researches, we found the application is very effective in the method of
cross-sectional profiling of sub-20 nm half-pitch lines-and-spaces (LS) patterns.
In this report, we investigated the capabilities of measuring cross-sectional profiles for hole patterns using GISAXS.
Since the pattern density of hole patterns is much lower than that of LS patterns, the intensity of X-ray scattering in hole
measurements is much lower. We optimized some measurement conditions to build the hole measurement system.
Finally, the results suggested that 3D profile measurement of hole pattern using GISAXS has sufficient performance to
manage the cross-sectional profile of template. The measurement system using GISAXS for measuring 3D profiles
establishes the cross-sectional profile management essential for the production of high quality quartz hole templates.
Nano imprint lithography (NIL) is one to one lithography and contact transfer technique using template. Therefore, the lithography performance depends greatly on the quality of the template pattern. In this study, we investigated the resolution and the defect level for hole patterning using chemical amplified resists (CAR) and VSB type EB writer, EBM9000. To form smaller pattern with high quality, high resolution resist process and high sensitivity etching process are needed. After these elements were optimized, we succeeded to form 24 nm dense hole pattern on template. In general, it is difficult to suppress the defect density in a large area because of fogging effect and process loading and so forth. However, from the view point of defect quality, 26 nm hole pattern is achieved to form with practical level in a large area. Therefore, we indicate the capability of forming 26 nm hole master template which will be required in 2019 from ITRS2013. These results show that this process is possible to obtain less than 30 nm hole pattern without enormous writing time. As future work, we will imprint master to replica template and check the printability.
Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it’s quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.
ArF immersion lithography combined with double patterning has been used for fabricating below half pitch 40nm devices. However, when pattern size shrinks below 20nm, we must use new technology like quadruple patterning process or next generation lithography (NGL) solutions. Moreover, with change in lithography tool, next generation mask production will be needed. According to ITRS 2013, fabrication of finer patterns less than 15nm will be required on mask plate in NGL mask production 5 years later [1]. In order to fabricate finer patterns on mask, higher resolution EB mask writer and high performance fabrication process will be required. In a previous study, we investigated a potential of mask fabrication process for finer patterning and achieved 17nm dense line pattern on mask plate by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist [2][3]. After a further investigation, we constructed higher performance mask process by using new EB mask writer EBM9000. EBM9000 is the equipment supporting hp16nm generation's photomask production and has high accuracy and high throughput. As a result, we achieved 15.5nm pattern on mask with high productivity. Moreover, from evaluation of isolated pattern, we proved that current mask process has the capability for sub-10nm pattern. These results show that the performance of current mask fabrication process have the potential to fabricate the next-generation mask.
Photomask used for optical lithography has been developed for purpose of fabrication a pattern along with finer
designed rules and increase the productivity. With regard to pattern fabrication on mask, EB (Electron beam) mask
writer has been used because it has high resolution beam. But in producing photomask, minimum pattern size on mask is
hits a peak around 40nm by the resolution limit of ArF immersion systems. This value is easy to achieve by current EB
writer. So, photomask process with EB writer has gotten attached to increase turnaround time.
In next generation lithography such as EUV (Extreme ultraviolet) lithography and Nano-imprint lithography, it is
enable to fabricate finer pattern beyond the resolution limit of ArF immersion systems. Thereby the pattern on a mask
becomes finer rapidly. According to ITRS 2012, fabrication of finer patterns less than 20nm will be required on EUV
mask and on NIL template. Especially in NIL template, less than 15nm pattern will be required half a decade later. But
today’s development of EB writer is aiming to increase photomask’s productivity, so we will face a difficulty to fabricate
finer pattern in near future.
In this paper, we examined a potential of mask production process with EB writer from the view of finer pattern
fabrication performances. We succeeded to fabricate hp (half-pitch) 17nm pattern on mask plate by using VSB (Variable
Shaped Beam) type EB mask writer with CAR (Chemically Amplified Resist). This result suggests that the photomask
fabrication process has the potential for sub-20nm generation mask production.
Extreme Ultra Violet Lithography (EUVL) is the most leading next generation lithographic
technology post ArF immersion lithography. The Structure of EUV mask differ from traditional
photomask., especially backside coating.
E-chuck is employed to fix the EUV mask on the scanner. Therefore a conductive film on
backside of the EUV mask blank is needed. We investigated what have an influence on mask
manufacturing process caused by the backside coating differed from a traditional photomask.
From our experiment, at the mask fabrication process, especially RIE process to etch Ta
absorber, the CD variation is occurred by electric conduction between the backside conductive
coating and the absorber on the Mo/Si multi-layer.
As a result, the EUV mask blank without electric conduction between the backside conductive
coating and the absorber on the Mo/Si multilayer is necessary.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.