Current EUV lithography pushes photoresist thickness reduction to sub-30 nm in order to meet resolution targets and mitigate pattern collapse. In order to maintain the etch budgets in hard mask open, the adhesion layer in between resist and hard mask has to scale accordingly. We have reported a grafted polymer brush adhesion layer used in an ultrathin EUV patterning stack and demonstrated sub-36 nm pitch features with significant improvement over existing adhesion promotion techniques [1]. This paper provides further understanding of this class of materials from a fundamental point of view. We first propose a hypothesis of the adhesion mechanism, and probe key factors that could affect adhesion performance. The grafting kinetics study of polymer brush that contains different functional groups to the substrate shows grafting chemistry, time, and temperature are key factors that affect the printing performance. We then conduct a systematic study to understand printing capability at various pitches for different silicon-based substrates. By comparing the process window, we gain comprehensive understanding of the printing limits and failing modes with this approach. We provide a comparative study of a grafted adhesion layer vs. a conventional spin on BARC type material, including defectivity. Pattern transfer to hard mask with varied etch chemistry is conducted to understand the performance of polymer brush during etch.
Initial readiness of extreme ultraviolet (EUV) patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the “effective” k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses <30 nm are required to meet resolution targets and mitigate pattern collapse. Etch budgets necessitate the reduction of underlayer thickness as well. Typical spin-on underlayers show high defectivity when reducing thickness to match thinner resist. Inorganic deposited underlayers are lower in defectivity and can potentially enable ultrathin EUV patterning stacks. However, poor resist-inorganic underlayer adhesion severely limits their use. Existing adhesion promotion techniques are found to be either ineffective or negatively affect the etch budget. Using a grafted polymer brush adhesion layer, we demonstrate an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush, and resist. We show printing of sub-36-nm pitch features with a good lithography process window and low defectivity on various inorganic substrates, with significant improvement over existing adhesion promotion techniques. We systematically study the effect of brush composition, molecular weight, and deposition time/temperature to optimize grafting and adhesion. We also show process feasibility and extendibility through pattern transfer from the resist into typical back end stacks.
In this study, the integrity and the benefits of the DSA shrink process were verified through a via-chain test structure, which was fabricated by either DSA or baseline litho/etch process for via layer formation while metal layer processes remain the same. The nearest distance between the vias in this test structure is below 60nm, therefore, the following process components were included: 1) lamella-forming BCP for forming self-aligned via (SAV), 2) EUV printed guiding pattern, and 3) PS-philic sidewall. The local CDU (LCDU) of minor axis was improved by 30% after DSA shrink process. We compared two DSA Via shrink processes and a DSA_Control process, in which guiding patterns (GP) were directly transferred to the bottom OPL without DSA shrink. The DSA_Control apparently resulted in larger CD, thus, showed much higher open current and shorted the dense via chains. The non-optimized DSA shrink process showed much broader current distribution than the improved DSA shrink process, which we attributed to distortion and dislocation of the vias and ineffective SAV. Furthermore, preliminary defectivity study of our latest DSA process showed that the primary defect mode is likely to be etch-related. The challenges, strategies applied to improve local CD uniformity and electrical current distribution, and potential adjustments were also discussed.
The progress of three potential DSA applications, i.e. fin formation, via shrink, and pillars, were reviewed in this paper. For fin application, in addition to pattern quality, other important considerations such as customization and design flexibility were discussed. An electrical viachain study verified the DSA rectification effect on CD distribution by showing a tighter current distribution compared to that derived from the guiding pattern direct transfer without using DSA. Finally, a structural demonstration of pillar formation highlights the importance of pattern transfer in retaining both the CD and local CDU improvement from DSA. The learning from these three case studies can provide perspectives that may not have been considered thoroughly in the past. By including more important elements during DSA process development, the DSA maturity can be further advanced and move DSA closer to HVM adoption.
Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.
Initial readiness of EUV patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses <30 nm are required to meet resolution targets and mitigate pattern collapse. Etch budgets necessitate the reduction of underlayer thickness as well. Typical spin-on underlayers show high defectivity when reducing thickness to match thinner resist. Inorganic deposited underlayers are lower in defectivity and can potentially enable ultrathin EUV patterning stacks. However, poor resist-inorganic underlayer adhesion severely limits their use. Existing adhesion promotion techniques are found to be either ineffective or negatively affect the etch budget. Here, using a grafted polymer brush adhesion layer we demonstrate an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush and resist. We show printing of sub-36 nm pitch features with good lithography process window and low defectivity on various inorganic substrates, with significant improvement over existing adhesion promotion techniques. We systematically study the effect of brush composition, molecular weight and deposition time/temperature to optimize grafting and adhesion. We also show process feasibility and extendibility through pattern transfer from the resist into typical back end stacks.
Directed self-assembly (DSA) of block copolymers (BCPs) has become a promising patterning technique for 7nm node hole shrink process due to its material-controlled CD uniformity and process simplicity.[1] For such application, cylinder-forming BCP system has been extensively investigated compared to its counterpart, lamella-forming system, mainly because cylindrical BCPs will form multiple vias in non-circular guiding patterns (GPs), which is considered to be closer to technological needs.[2-5] This technological need to generate multiple DSA domains in a bar-shape GP originated from the resolution limit of lithography, i.e. those vias placed too close to each other will merge and short the circuit. In practice, multiple patterning and self-aligned via (SAV) processes have been implemented in semiconductor manufacturing to address this resolution issue.[6] The former approach separates one pattern layer with unresolvable dense features into several layers with resolvable features, while the latter approach simply utilizes the superposition of via bars and the pre-defined metal trench patterns in a thin hard mask layer to resolve individual vias, as illustrated in Fig 1 (upper). With proper design, using DSA to generate via bars with the SAV process could provide another approach to address the resolution issue.
Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high-end memory devices. We summarize the results of defect inspections focusing on two key defect types: random nonfill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. Nonfill defectivity must always be considered within the context of process throughput. The key limiting throughput step in an imprint process is resist filling time. Repeater defects typically have two main sources: mask defects and particle-related defects. Previous studies have indicated that soft particles tend to cause nonrepeating defects. Hard particles, on the other hand, can cause either resist plugging or mask damage. We use an Imprio 500 20- wafer per hour development tool to study both defect types. By carefully controlling the volume of inkjetted resist, optimizing the drop pattern, and controlling the resist fluid front during spreading, fill times of 1.5 s are achieved with nonfill defect levels of ∼1.2/cm2. Longevity runs were used to study repeater defects, and a nickel contamination was identified as the key source of particle-induced repeater defects.
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A
thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process
window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A
tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to
standard bright field applications.
Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and
Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist
deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly
flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under
UV radiation, and then the mask is removed leaving a patterned resist on the substrate.
Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels
commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of
0.10/cm2. This work summarizes the results of defect inspections focusing on two key defect types; random non-fill
defects occurring during the resist filling process and repeater defects caused by interactions with particles on the
substrate.
Non-fill defectivity must always be considered within the context of process throughput. The key limiting
throughput step in an imprint process is resist filling time. As a result, it is critical to characterize the filling process by
measuring non-fill defectivity as a function of fill time. Repeater defects typically have two main sources; mask defects
and particle related defects. Previous studies have indicated that soft particles tend to cause non-repeating defects. Hard
particles, on the other hand, can cause either resist plugging or mask damage. In this work, an Imprio 500 twenty wafer
per hour (wph) development tool was used to study both defect types.
By carefully controlling the volume of inkjetted resist, optimizing the drop pattern and controlling the resist fluid
front during spreading, fill times of 1.5 seconds were achieved with non-fill defect levels of approximately 1.2/cm2.
Longevity runs were used to study repeater defects and a nickel contamination was identified as the key source of
particle induced repeater defects.
Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance
of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with
the requirements of cost-effective device production. This work summarizes the results of defect inspections of
semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections
were performed with optical and e-beam based automated inspection tools.
For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging
between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection
and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were
then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed
with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints
were particle related.
For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk.
This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned
media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution
grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in
this manner are further characterized according to the morphology
Overlay control is gaining more attention in recent years as technology moves into the 32nm era. Strict overlay
requirements are being driven not only by the process node but also the process techniques required to meet the design
requirements. Double patterning lithography and spacer pitch splitting techniques are driving innovative thinking with
respect to overlay control. As lithographers push the current capabilities of their 193nm immersion exposure tools they
are utilizing newly enabled control 'knobs'. 'Knobs' are defined as the adjustment points that add new degrees of
freedom for lithographers to control the scanner. Expanded control is required as current scanner capabilities are at best
marginal in meeting the performance requirements to support the ever demanding process nodes. This abstract is an
extension of the SPIE 2008 paper in which we performed thorough sources of variance analysis to provide insight as to
the benefits of utilizing high order scanner control knobs [1]. The extension this year is to expand the modeling
strategies and to validate the benefit through carefully designed experiments. The expanded modeling characterization
will explore not only high order correction capabilities but also characterize the use of field by field corrections as a
means to improve the overlay performance of the latest generation of immersion lithography tools. We will explore
various correction strategies for both grid and field modeling using KT AnalyzerTM.
As optical lithography advances to 32 nm technology node and beyond, double patterning technology (DPT)
has emerged as an attractive solution to circumvent the fundamental optical limitations. DPT poses unique demands on
critical dimension (CD) uniformity and overlay control, making the tolerance decrease much faster than the rate at which
critical dimension shrinks. This, in turn, makes metrology even more challenging. In the past, multi-pad diffractionbased
overlay (DBO) using empirical approach has been shown to be an effective approach to measure overlay error
associated with double patterning [1]. In this method, registration errors for double patterning were extracted from
specially designed diffraction targets (three or four pads for each direction); CD variation is assumed negligible within
each group of adjacent pads and not addressed in the measurement. In another paper, encouraging results were reported
with a first attempt at simultaneously extracting overlay and CD parameters using scatterometry [2].
In this work, we apply scatterometry with a rigorous coupled wave analysis (RCWA) approach to characterize
two double-patterning processes: litho-etch-litho-etch (LELE) and litho-freeze-litho-etch (LFLE). The advantage of
performing rigorous modeling is to reduce the number of pads within each measurement target, thus reducing space
requirement and improving throughput, and simultaneously extract CD and overlay information. This method measures
overlay errors and CDs by fitting the optical signals with spectra calculated from a model of the targets. Good
correlation is obtained between the results from this method and that of several reference techniques, including empirical
multi-pad DBO, CD-SEM, and IBO. We also perform total measurement uncertainty (TMU) analysis to evaluate the
overall performance. We demonstrate that scatterometry provides a promising solution to meet the challenging overlay
metrology requirement in DPT.
The industry is facing a major challenge looking forward on the technology roadmap with respect to overlay control.
Immersion lithography has established itself as the POR for 45nm and for the next few nodes. As the gap closes between
scanner capability and device requirements new methodologies need to be taken into consideration. Double patterning
lithography is an approach that's being considered for 32 and below, but it creates very strict demands for overlay
performance. The fact that a single layer device will need to be patterned using two sequential single processes creates a
strong coupling between the 1st and 2nd exposure. The coupling effect during the double patterning process results in
extremely tight tolerances for overlay error and scanner capabilities.
The purpose of this paper is to explore a new modeling method to improve lithography performance for the 32nm node.
Not necessarily unique for double patterning, but as a general approach to improve overlay performance regardless of
which patterning process is implemented. We will achieve this by performing an in depth source of variance analysis of
current scanner performance and project the anticipated improvements from our new modeling approach. Since the new
modeling approach will involve 2nd and 3rd order corrections we will also provide and analysis that outlines current
metrology capabilities and sampling optimizations to further expand the opportunities of an efficient implementation of
such approach.
193nm immersion lithography might have to incorporate a top layer coat to prevent leaching and contamination. Additionally, immersion and future lithography will require lowering the photoresist thickness. It has been reported in literature that the diffusion coefficient of small acid molecules reduces as the resist thickness is reduced below 200 nm. The goal of this paper is to understand how, the use of a top coat, changing resist thickness and changing the substrate affect line edge roughness (LER). The study is conducted using dry 193 nm lithography. It was found that the use of a top coat helps to improve LER for 193 nm dry resist process. Improvement in LER with the use of top coat can be explained by a change in intrinsic bias of the resist. LER was also studied as a function of resist thickness, by changing resist thickness from 790 Å to 2200 Å. It was found that LER is a strong function of resist thickness. At thickness less than about 1300 Å, LER increases, with a more pronounced effect as resist thickness is decreased further. LER was also studied as a function of substrate. Two substrates, organic bottom anti-reflection coating (BARC) and an inorganic silicon oxynitride film (SiON), were used in the present study. For ultra-thin resist films, less than 1300 Å thick, it was found that the SiON substrate produced greater LER compared with the organic BARC substrate. The data compiled provides a fundamental understanding of LER behavior and will eventually help in better control of LER for future generation devices.
Previously we have reported on the film-thickness-dependent nature of the dissolution rate of a series of model photoresist polymer resins including: novolac, poly(hydroxystyrene) (PHOST), and bis-trifluoromethyl carbinol substituted polynorbornene (i.e. HFAPNB or hexafluoroalcohol substituted polynorbornene). It was found that the dissolution rate of novolac films displayed very little dependence on the initial polymer film thickness, while the dissolution rates of both PHOST and HFAPNB films displayed a strong dependence on the initial polymer film thicknesses below a critical initial film thickness. This paper presents the results of additional experimental work that was directed at determining the underlying physical cause for the observed variation in dissolution rates with initial film thickness. Fourier transform infrared spectroscopy studies have revealed that the extent of hydrogen bonding in both PHOST and HFAPNB films exhibits a strong dependence on initial film thickness below a critical film thickness. This critical film thickness below which changes in the extent of hydrogen bonding in the film are observed correlates well with the critical film thicknesses below which changes in the film dissolution behavior are observed. In the case of novolac, no substantial dependence in the extent of hydrogen bonding in the films as a function of film thickness is observed. This new experimental evidence suggests that it is the changes in the extent of hydrogen bonding which occur as a function of the initial polymer film thickness which are responsible for the observed dissolution behavior in these materials. Further work is in progress to understand the underlying causes for these differences in the hydrogen bonding behavior of the polymer films.
Molecular simulations of atactic poly(propylene) films were carried out to characterize the general behavior of ultra-thin supported polymer films. Insight into the origin of property changes as a function of film thickness was obtained from these simulations. These property changes are of critical importance in light of the ever decreasing dimensions of polymer constructs in photolithography. These simulations were focused on the seemingly contradictory results obtained from experimental measurements. Specifically, the observed decrease in the glass transition temperature (Tg) seems to be explained by increased mobility at the free surface of simulated films, but this explanation contradicts the observed decrease in diffusivity with decreasing film thickness. The simulations, like previous simulations, indicate that increased mobility at the free film surface occurs on a length scale commensurate with the decrease in Tg. However, a redistribution of the fractional free volume (FFV) also occurred in the simulated films as a function of film thickness. These results suggest that the FFV distribution becomes more homogeneous as films become thinner. This reduces the amount of FFV accessible to various diffusing molecules and may explain the observed decrease in diffusivity that occurs as film thickness decreases. Both the simulated redistribution of FFV and the observed decrease in diffusivity occur on a larger length scale than simulated mobility changes and the associated decrease in Tg. The theory that a redistribution of FFV is the origin of the observed behavior of diffusivity as a function film thickness is a new one that ultimately requires further validation from Positron Annihilation Lifetime Spectroscopy experiments and more extensive film simulations.
The influence of film thickness and molecular weight on the diffusion coefficients of water, benzene, and trifluoroacetic acid in two photoresist polymers, poly(p-hydroxystyrene) and bis-trifluoromethyl carbinol substituted poly(norbornene), has been studied using quartz crystal microbalance (QCM) methods. Diffusion coefficients for films as thin as approximately 50 nm were determined. It was observed that the diffusion coefficient was a strong function of film thickness, and that the diffusion coefficient decreases drastically as film thickness is reduced below a critical value. This critical thickness value is found to be a function of both polymer structure and molecular weight. In addition, the effect of film thickness on the equilibrium uptake of the various penetrants was also determined. In particular, the equilibrium water uptake was shown to depend strongly on film thickness, polymer structure, and polymer molecular weight.
Fabrication of future nanoscale electronic devices will likely require the use of ultra-thin resist films. It has been observed that film thickness, molecular weight, and substrate interactions can significantly affect the thermophysical properties of polymer thin films such as the glass transition temperature and coefficient of thermal expansion. Recently, film thickness has been reported to have a dramatic impact on the diffusion coefficient of small molecules in polymer ultra-thin films. Both of these factors, changes in either the polymer film thermophysical properties or diffusion behavior, can potentially have an impact of the lithographic performance of polymer thin film photoresists. As an extension to this previous work, it is desirable to understand the influence of film thickness on a variety of other lithographically important polymer properties. Dissolution rate is one such important physical property for photoresist polymer thin films that is of particular importance to the microelectronics industry. Simulation of lithographic processes relies to a great extent on knowledge of the dissolution or development behavior of photoresist thin films. Resist contrast is also known to be strongly affected by the dissolution behavior of the resist matrix polymer. So far, the possibility of film thickness significantly affecting the dissolution behavior of thin photoresist films has generally been ignored. This paper reports on work focused on determining the effect of film thickness on the dissolution behavior of a variety of resist polymers including novolac, polyhydroxystyrene (PHOST), and bis-trifluoromethyl carbinol substitute polynorbornene (HFAPNB). In the present work, Quartz Crystal Microbalance (QCM) methods were used to determine the dissolution rate of polymer thin films for thicknesses ranging from approximately 1 μm to 100 nm. It was observed that both poly(hydroxystyrene) (PHOST) and bis-trifluoromethyl carbinol substituted polynorbornene (HFAPNB) exhibit strong surface acceleration behavior as compared to the classic surface inhibition that has been extensively studied in novolac polymers. Most importantly, the dissolution rate of PHOST and HFAPNB thin films was found to depend strongly on the thickness of the polymer film for film thicknesses below a critical thickness value.
The influence of film thickness, molecular weight, and substrate on the glass transition temperature and coefficient of thermal expansion for poly(methyl methacrylates) thin films, a traditional electron beam resist material, has been studied in detail. Variable angle spectroscopic ellipsometry was used to measure the change in film thickness and polymer refractive index for polymer film thicknesses ranging from approximately 30 nm to 650 nm. The Tg for the polymer thin films was determined using changes in the rate of film thickness expansion as a function of temperature. It was observed that the film Tg exhibits a strong dependence on both the film thickness, the polymer molecular weight, and the substrate used. The Tg of poly(methyl methacrylates) films on hexamethyldisilazane (HMDS) coated silicon surfaces decreased with decreasing film thickness below a critical film thickness of approximately thirteen times the radius of gyration of the polymer. The Tg of poly(methyl methylacrylate) films on silicon native oxide surfaces increased with decreasing film thickness below a critical film thickness of approximately six times the radius of gyration of the polymer. The coefficient of thermal expansion also exhibited dependence on film thickness, molecular weight, and substrate used. It was found that the Tg of polymer thin films can be modeled using a “master” curve based on reduced variables, where Tg is normalized by the its bulk value and film thickness is normalized by the polymer radius of gyration. Master curves based on this scaling have successfully been generated for the two different polymer-substrate systems used in this work. The possible implications of this phenomena for ultrathin resist film behavior is also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.