In this work, we connected the analytical determination of the EUV Dill C parameter for different photodecomposable base quencher (PDB) architectures using a standard addition method, the influence of the underlying hardmask on postdevelop EUV resist residue formation, and the vertical PAG and PDB concentration profile throughout the depth of the film determined by GCIB-TOF-SIMS for a model EUV resist system. The collected experimental data was used to feed a resist patterning simulation engine, in order to understand the additive effect of component distribution and efficiency on EUV stochastics and its potential impact on defect control. Our results unveiled a link between PDB quantum yield and nanoscopic material distribution uniformity. In parallel, a differentiating behavior was observed among inorganic underlayers: metal oxide hardmasks (HMs) invariably induced more resist residue than non-metallic HMs. Last, a specific example of joint PAG and PDB concentration depletion at the resist-substrate interface was related to a potential increase in microbridge defectivity as a result of poor stochastic counts.
The objective of this work is to describe the advances in 193nm photoresists using negative tone
developer and key challenges associated with 20nm and beyond technology nodes.
Unlike positive tone resists which use protected polymer as the etch block, negative tone
developer resists must adhere to a substrate with a deprotected polymer matrix; this poses
adhesion and bonding challenges for this new patterning technology. This problem can be
addressed when these photo resists are coated on anti-reflective coatings with plentiful silicon in
them (SiARC), which are specifically tailored for compatibility with the solvent developing
resist. We characterized these modified SiARC materials and found improvement in pattern
collapse thru-pitches down to 100nm.
Fundamental studies were carried out to understand the interactions between the resist materials
and the developers. Different types of developers were evaluated and the best candidate was
down selected for contact holes and line space applications. The negative tone developer
proximity behavior has been investigated through optical proximity correction (OPC)
verification. The defectivity through wafer has been driven down from over 1000 adders/wafer to
less than 100 adders/wafer by optimizing the develop process. Electric yield test has been
conducted and compared between positive tone and negative tone developer strategies. In
addition, we have done extensive experimental work to reduce negative tone developer volume
per wafer to bring cost of ownership (CoO) to a value that is equal or even lower than that of
positive tone CoO.
Silicon-containing antireflection coating (SiARC) and spin-on carbon (SOC) under-layers have been
widely implemented for advanced semiconductor manufacturing since the 45 nm node. The combination
of SiARC and SOC promises a superior solution for reflection control and a high etch selectivity. With
the industry marching towards 22 nm and beyond, the tri-layer materials and processes are being finely
tuned to meet the requirements. We report comprehensive evaluation results of the SiARC (with high
silicon content) and carbon under-layer from manufacturing perspective. It focuses on the performances
that are required to extend the tri-layer applications from the original 45 nm nodes to 22 nm and beyond,
such as thickness selection, etch selectivity, resist compatibility, rework capability, and under-layer
pattern wiggling issues.
The semiconductor industry faces a lithographic scaling limit as the industry completes the transition to 1.35 NA
immersion lithography. Both high-index immersion lithography and EUV lithography are facing technical
challenges and commercial timing issues. Consequently, the industry has focused on enabling double patterning
technology (DPT) as a means to circumvent the limitations of Rayleigh scaling. Here, the IBM development
alliance demonstrate a series of double patterning solutions that enable scaling of logic constructs by decoupling
the pattern spatially through mask design or temporally through innovative processes. These techniques have been
successfully employed for early 32nm node development using 45nm generation tooling. Four different double
patterning techniques were implemented. The first process illustrates local RET optimization through the use of a
split reticle design. In this approach, a layout is decomposed into a series of regions with similar imaging
properties and the illumination conditions for each are independently optimized. These regions are then printed
separately into the same resist film in a multiple exposure process. The result is a singly developed pattern that
could not be printed with a single illumination-mask combination. The second approach addresses 2D imaging
with particular focus on both line-end dimension and linewidth control [1]. A double exposure-double etch (DE2)
approach is used in conjunction with a pitch-filling sacrificial feature strategy. The third double exposure process,
optimized for via patterns also utilizes DE2. In this method, a design is split between two separate masks such that
the minimum pitch between any two vias is larger than the minimum metal pitch. This allows for final structures
with vias at pitches beyond the capability of a single exposure. In the fourth method,, dark field double dipole
lithography (DDL) has been successfully applied to BEOL metal structures and has been shown to be overlay
tolerant [6]. Collectively, the double patterning solutions developed for early learning activities at 32nm can be
extended to 22nm applications.
Over a period of last several years 193 nm immersion lithography from a remote and unlikely possibility
gradually became a reality in many fabrication facilities across the globe and solid candidate for high volume
manufacturing for the next generation technology node. It is being widely understood in the industry that top-coatless
resist approach is a desirable final stage of the immersion process development. However creating low-defect high
performance top-coatless resist materials requires understanding of the fundamental material properties of the top layer,
responsible for leaching suppression, immersion fluid meniscus stability, and in this way enabling high speed low-defect
scanning.
While a lot of progress has been made in implementing specific top coat materials into the process flow, clear
understanding effects of the top coat properties on the lithographic conditions and printing capability is still lacking. This
paper will discuss top coat materials design, properties and functional characteristics in application to novel
fluoroalcohol polymer-based immersion top coat.
We have used our fluoroalcohol based-series designs (titled MVP top coat materials further on in the paper) as a
test vehicle for establishing correlations between top coat performance and its physical and chemical properties including
hydrophobicity, molecular weight/dispersity etc. Effects of polymer-solvent interactions on the contact angle and
characteristics of the top coat material are explored, providing valuable understanding transferable to design of new
generation top coats and top-coatless materials. Our resultant new designs demonstrated excellent lithographic
performance, profiles and low leaching levels with commercially available resist and high receding contact angles,
comparable to the commercial top coat materials.
Immersion lithography has placed a number of additional performance criteria on already stressed resist materials.
Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan
rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers
were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion
lithography. Achieving the delicate balance between the low surface energies required for high water contact angles
(generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is
challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have
been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we
have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial
properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties
such as water contact angle, aqueous base contact angle, and dissolution rate.
The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm
lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension
requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In
this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and
developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol
(HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine
atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch
resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior
lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In
addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it
possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic
performance of the FSM resist system are reported.
Immersion lithography has emerged as the leading solution for semiconductor manufacturing for the 45nm node. With the emergence of the first full-field immersion lithography scanners, the technology is getting ready to be inserted in semiconductor manufacturing facilities throughout the world. In the initial implementation phase, the enhanced depth-of-focus provided by immersion will be utilized to mitigate the narrow process window in which leading-edge semiconductor manufacturing has been forced to operate, creating a new set of opportunities.1 The area of defects, however, has remained of critical concern for this technology. It has become clear that the ultimate proof of the readiness of immersion, especially from a defect point of view, must be attained by integrating the immersion process in a production environment. In this paper, we demonstrate that fully functional 90nm PowerPCTM microprocessors have been fabricated using immersion lithography for one of the litho-critical via levels, achieving the goal of confirming that immersion lithography is a viable manufacturing solution. For this demonstration, we utilized the AT1150i (ASML), currently at Albany NanoTech (NY). The system is a 0.75 NA full-field 193nm projection (4x) scanner. We were able to achieve lithographic and overlay performance that exceeded product specifications while achieving a sufficiently low defect count so as to have yielding chips and modules. We have classified the leading types of defects that can be attributed to the immersion process and have assessed their processing impact. Electrical characterization of the integrated devices confirmed full functionality at both wafer final test (WFT) and module test (MT).
To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.
The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.
KEYWORDS: Lithography, Etching, Photomasks, Semiconducting wafers, Metals, Critical dimension metrology, Silicon, Image processing, Back end of line, Finite element methods
This paper will present results obtained during the early development of a lithography process to meet the requirements of the 65 nm node in the BEOL. For the metal levels, an IBM/JSR jointly developed trench level resist was characterized and implemented. Resist image profile, process window, through pitch performance, image shortening and the effect of illumination conditions are discussed. Results from focus - exposure monitor (FEM) wafers are shown which were characterized for minimum resolution, process window and electrical continuity through a maze structure. For the via levels, results from another IBM/JSR jointly developed resist with high resolution and process windows are described. Process windows for nested and isolated vias are given, as well as results showing the improvement in process window and resolution due to the ARC etch. The results also include FEM measurements showing the electrical continuity through simple via chain structures versus the dimension of the via.
Resist technologies that will enable next-generation lithography (NGL) such as extreme ultraviolet lithography (EUV) will require tighter control of critical dimension (CD) with appropriate reduction of line edge roughness (LER) of resist features to levels that seem unrealizable today. Given the delicate balance existing between LER, resolution and sensitivity that is associated with photoresist patterning, alternative processing methodologies that can address such parameters individually are required. In this work a post-processing method designed to control LER is proposed based on the ability of an additive-containing rinse to condition the surface of photoresist patterns. Organic salts added to the final rinse used to quench the development process are found to be particularly effective towards this end. LER reduction up to 15% was observed for a broad range of 193 nm resist systems, while preserving the integrity of the pattern profiles. The dependence of LER reduction on additive concentration was investigated and the limited improvement observed was explained based on the tendency of the additive to self-aggregate. Finally, the advantage of including an additive in the rinse step instead of using an additive-containing developer is discussed in terms of critical dimension bias and overall image integrity control.
Satellite spot defects are a class of defects widely observed in photoresist processing in 248 nm and 193 nm lithography. These defects become more and more significant as the feature sizes shrink and can potentially become “killer” defects, leading to bridging between lines and/or blocking vias. Traditional potential solutions (i.e., optimization of development rinse step) have yielded improvements in the past but did not eliminate the problem. The use of water-soluble topcoat layers was shown to eliminate these defects but it imposes limitations on throughput and cost and it is incompatible with 157 nm lithography and 193 nm immersion schemes. In this work, we report the use of aqueous surfactant solutions for the suppression of defects in 248 nm and 193 nm lithography, with emphasis on satellite spot defects. Suppression of total defects by up to ~99% and practically complete elimination of satellite spot defects were achieved by use of aqueous surfactant solutions for various resists. A handful of materials that can be incorporated into rinse solution for the successful elimination of blob defects in a variety of resists were identified. It was determined that the two most important factors that enable successful defect elimination are the surfactant concentration and the extent of surfactant adsorption to specific resist systems.
It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.
While evaluating 193 nm, and early versions of 157 nm and EUV resists, the lithography community has focused on post-develop LER values derived from image analysis of top-down SEM micrographs. These numbers, however, do not capture the tendency of a resist to facet and roughen during plasma etching processes. They also do not convey any information about the role of the anti-reflective coatings/hard masks in the transfer of resist roughness into the underlying substrate. From a manufacturing perspective, it is the "LER" of the final etched substrate that is more important. This paper systematically studies the impact of resist polymer platform and thickness, etching conditions, and presence of organic and inorganic anti-reflective coatings/hard masks on substrate roughening. An AFM technique, previously developed by Reynolds and Taylor, is used to measure the feature sidewall roughness as a function of etch depth. This technique enables us to calculate the sidewall roughness of the resist, ARC/hard mak and substrate surfaces simultaneously, and determine correlations that may exist between these values. The paper identifies and demonstrates patterning methodologies that can be used to achieve "smooth" substrate surfaces even when the resist is "thin".
In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).
ArF lithography has been selected as the imaging method for the 90 nm technology node. Manufacturing related issues will have to be addressed when designing advanced 193 nm resists that are production worthy. Post exposure bake (PEB) sensitivity, dissolution properties and process window are some issues that need continuous improvement. Initially our investigation focused on a cyclic olefin (CO) platform which led us to a better understanding of the relationship between polymer structure and physical properties and how to improve cyclic olefin resist performance. Since then we have developed a new class of acrylate polymers with pendant “spaced ester” functionality. We have investigated the potential use of “spaced ester” functionality on improving the lithographic performance of CO and acrylate resist platforms. We have found that with “spaced ester” as pending group in CO polymer structures, it can lower the Tg and improve the dissolution properties of the CO resists. Resists formulated with acrylate containing “spaced ester” group exhibit excellent PEB temperature sensitivity (1 nm/°C), and are soluble in PGMEA. In addition, we have demonstrated sub-100 nm resolution with excellent process window through formulation optimization for acrylate based resists. This paper will focus on the “spaced ester” based polymer design, material properties; resist characteristics, and the lithographic performance for logic dense line applications.
As 193 nm resist moves into production with minimum feature sizes approaching 100nm, bilayer resist is being evaluated more closely for certain applications. Our polymer design has been evolving to meet tighter outgassing requirements. Optical density, etch resistance and dissolution behavior are other considerations. The protecting group used in our 248 nm bilayer is not useful for 193 nm lithography because of the high optical density contribution from Si-Si linkage. Silicon was incorporated into a COMA platform for the first generation polymer. Maleic anhydride is used to modulate dissolution characteristics. The first generation 193 nm bilayer was optimized to print 120 nm L/S patterns with an attenuated PSM on a 0.6 NA Nikon S302. We will describe next generation platforms that address silicon outgassing concern. The lithographic performance of these resists was evaluated on a 0.6 NA Nikon S302 with a dark field mask. Results for 280nm pitch (1:1 L/S) and 245 nm pitch (105 nm L, 140 nm S) lithography are presented. Also shown is result for a 245 nm pitch (1:1 L/S) and 210 nm pitch (1:1 L/S) on a 0.75 NA ASML PAS 5500/1100. Outgassing data generated at MIT Lincoln Laboratory will be discussed.
Monomer components and composition of a resist polymer have large influence on its lithographic performance. Finding the right components and composition for a resist polymer involves laborious systematic synthesis of different polymers. On the other hand, post-modification offers a convenient way to incorporate desired functional groups into an existing polymer and hence improve its lithographic performance and mechanical properties. Using this method, different groups could be quickly tested and the results could direct the future design of new resist materials. Another advantage of this method is that functional groups which are sensitive to the polymerization condition could also be incorporated. In this paper, we demonstrated the feasibility of using the post-modification method. Through a simple esterification reaction in which the acid groups react with alkyl halides in the presence of 1,8- diazobicyclo[5.4.0]undec-7-ene(DBU) or trialkylamine, three different functional groups were incorporated into the existing Ibm Version 2 (V2) methacrylate polymer. The post- modification reaction gave high yield and it is possible to control the percentage of the acid groups modified by the initial feeding ratio fo the alkyl halide and the carboxylic acid. Depending on the structures of the added groups, the post-modified resist materials demonstrated improved lithographic performances such as better compatibility towards 0.26 N developer and better etch-resistance than the precursor materials. The synthesis, characterization and lithographic performance of the modified polymers are presented.
In building the cyclic olefin addition polymer as a potential platform for 193nm contact hole application, we have encountered an unusual bottlenecking or lipping profile. We have investigated the causes and possible cures of this lipping profile in contact hole printing. The lipping was found to be tool dependent, mask dependent as well as duty cycle dependent. Several treatments were evaluated in terms of their ability to reduce or eliminate the lipping profile. These included various flood exposures, pre wet during development, the use of additives in the resist formulation and various surfactants added to TMAH developer. Among them, the most effective way to eliminate the lip profile was found to be modification of the developer by the addition of surfactants. A proper surfactant was selected to provide better wetting for the resist which resulted in the elimination of the lip profile. In addition, resist formulation changes were also beneficial in reducing the lipping profile. This study will present the results of designed experiments which investigated several different treatments and the resultant impact on profile quality.
The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.
193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.
In this paper, we have shown the progress we have made in improving reactive-ion-etch stability and lithographic performance of IBM 193 nm resist materials. Using selectively functionalized cyclicolefins, we have developed 193 nm resists with etch stability and post-etch surface roughness comparable to those of the matured, state-of-the-art DUV resists. Furthermore, we have also demonstrated dramatically improvement in dense line (100 nm 1:1 L/S) and semi-dense line (< 100 nm 1:2, 1:3 L/S) resolution using resolution enhancement techniques such as alternate phase shift mask.
In our attempts to develop etch resistance 248 nm positive resists, we have designed and synthesized thermally stable and acid sensitive methylbenzyl ether (MBE) protected poly(hydroxystyrene) derivatives. Results presented in this paper clearly illustrate that the MBE protecting group provides superior etch resistance to conventional carbonate, ester and acetal/ketal based protecting groups. It is also shown that the MBE protecting group is thermally stable and undergoes acid catalyzed deprotection leading to preferential rearrangement products due to electrophilic ring substitution. Such a rearrangement is shown to provide a unique mechanism to reduce/eliminate resist shrinkage and improve lithographic performance.
A special class of post-development defects, referred as Circular Surface Defects (CSDs), has been reported. Up to now, no resist is immune to CSD printing, including eight commercial KrF resists (from two vendors) and six early samples of ArF resists (from five vendors). An extensive study on the CSDs was conducted on a KrF Resist A, in term of its origin, formation and removal mechanism. Photoacid generators (PAGs) are proved to be a primary contributor to the CSDs. The origin of CSDs is believed to be PAG aggregation along with other hydrophobic components, resulting in formation of microemulsions in the developer. The aggregates have limited solubility in aqueous base developer, and could redeposit on the wafer surface during development. We propose one major defect removal mechanism, or 'Stripping' mechanism. This mechanism is related to resist film thickness loss, which aids in stripping potential defects from the resist surface, or reducing the probability of defect deposition.
One of the major factors that seem to limit the development of practically useful 193nm resist materials has been their low reactive-ion-etch (RIE) resistance. In this paper, we have shown convincingly that the RIE stability of poly(cyclicolefins) is superior to that of the alternating copolymers such as poly(norbornene-anhydride), and poly(acrylates). We have also shown that a high performance 193nm resist can be developed from functionalized poly(norbornenes) using appropriate formulation and process optimizations.
One of the major factors which determines the success of resist photochemistry is acid diffusion. Inadequate or excess diffusion can cause undesirable resist profiles, limit resolution and adversely impact process windows. Both formulation and process parameters effect acid diffusion. Formulation factors include such things as intrinsic properties of the acid, resin, and solvent. The process parameters which effect acid diffusion are mainly exposure dose, post-apply (PAB) and post-exposure bake (PEB). A practical study has been conducted which investigates the effect of PAB and PEB times and temperatures on acid diffusion in a chemically amplified negative i-line photoresist. Acid diffusion was measured by determining the change in linewidth of an isolated resist line. The goal of the study was to maximize acid diffusion through PAB and PEB conditions with minimal impact on profile quality and process windows. Maximum acid diffusion was required to combat a minimum light intensity at the surface of oxide wafers. Data on quantifying acid diffusion through linewidth change, maximizing acid diffusion at low light intensities as well as the role of the resist formulation will be discussed.
Current negative tone resists based on poly(4- hydroxystyrene) and aminoplast crosslinkers suffer from the limited solubility of commercially available crosslinkers in the most common casting solvents. The aminoplast crosslinkers also increase the dissolution rate of the base resin in aqueous alkaline developer. The lithographic performance of these resists is often limited by microbridging at high resolution. In this paper, synthesis of a series of glycoluril based aminoplast crosslinkers is described and the lithographic performance of resist formulations incorporating such compounds is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.