The control of critical dimension uniformity (CDU), especially intra-field CDU, is an important aspect for
advanced lithography, and this property must be controlled very tightly since it affects all of the exposure fields. It is
well known that the influence of the mask CDU on the wafer intra-field CDU is becoming dominant because the mask
error enhancement factor (MEEF) is quite high for low-k1 lithography. Additionally, the abovementioned factors impact
the CDU through global (field-level) and local (grating-level) variations. In this paper, we analyze in detail CDU budgets
by clarifying the impact of local CD variation.
The 50-nm staggered hole features using Att-PSM showed a mask global CDU of 1.64 nm (3sigma at the mask
level) and a wafer intra-field CDU of 2.30 nm, indicating that the mask global CDU was a major part of the intra-field
CDU. By compensating for the contribution of the mask CD, the wafer intra-field CDU can be reduced to 0.986 nm.
We analyzed the budgets of wafer intra-field CDU, which is caused by local CD variation (mask and process) and
measurement noise. We determined that a primary cause of the wafer intra-field CDU after applying a mask CD
correction was these local CD variations, which might disturb the proper use of dose correction for the mask CD. We
demonstrated that the impact of mask local CD variation on the correction flow can be greatly reduced by averaging
multiple point measurements within a small area, and therefore discuss the optimum conditions allowing for an accurate
intra-field CDU determination. We also consider optimization of the CD sampling scheme in order to apply a dose
correction on an exposure system to compensate for the mask CDU.
For sub 20nm features, IC (integrated circuits) designs include an increasing number of features approaching the
resolution limits of the scanner compared to the previous generation of IC designs. This trend includes stringent design
rules and complex, ever smaller optical proximity correction (OPC) structures. In this regime, a new type of mask,
known as opaque MoSi on glass (OMOG), has been introduced to overcome the shortcomings of the well-established
phase shift masks (PSM). This paper reviews the fundamental aerial imaging differences between identically designed
PSM and thin OMOG masks. The masks were designed for scanner qualification tests and therefore contain large
selections of 1D and 2D features, including various biases and OPCs. Aerial critical dimension uniformity (CDU)
performance for various features on both masks are reported. Furthermore, special efforts have been made to emphasize
the advantages of aerial imaging metrology versus wafer metrology in terms of shortening scanner qualification cycle
time.
In this paper we compare the imaging properties of lithographic test structures formed on test masks
with different reticle absorbers for use in1.35 NA immersion lithography. We will look into different
aspects like process windows and CD fingerprints. Beyond that we look into the topographic effects
caused by the different absorbers, the mask 3D effects. We will study the interaction between the
different masks and immersion scanner.
Special attention is given towards the correctability of the intrafield CD fingerprint by mask and
scanner applying dose corrections.
As half pitch shrinks to sub 20nm dimensions, the latest hybrid IC (integrated circuit) designs include a greater number
of features that approach the resolution limits of the scanner than in the previous generation of IC designs. This trend
includes stringent design rules and complex, ever smaller optical proximity correction (OPC) structures. In this regime, a
new type of mask, known as opaque MoSi on glass (OMOG), has been introduced to overcome the shortcomings of the
well-established phase shift masks (PSM). As for lithography, scanner and mask determine ultimate intra-field
performance as one approaches scanner resolution limits. Holistic lithography techniques have been developed to
optimize the interrelated mask and scanner effects on critical dimension uniformity (CDU) and common process window
(PW) for the most demanding sub 20nm node features. This paper presents an efficient and production worthy
methodology for evaluating the CDU, PW, and 3D effect fingerprints of the latest immersion scanner and thin OMOG
masks, and minimizing them using high-order optimizers of the latest holistic ArFi lithography.
Currently all LMS IPRO pattern placement metrology tools are calibrated using a 1D length standard provided by a
national standards institute (e.g. NIST or PTB), however there are no 2-D standards available with an uncertainty
matching the requirements of mask manufacturing for the 22nm HP node and beyond. Therefore, the 2D stage
coordinate system of the LMS IPRO systems is calibrated using KLA Tencor's proprietary combined correction
technique.
With introduction of the LMS IPRO4 into high volume mask production at the AMTC, AMTC and KLA-Tencor MIE
have demonstrated the capability to match IPRO3 and IPRO4 grids within 1.2 nm uncertainty [1]. Using the Golden Tool
approach, we achieved a significant improvement in pattern placement measurement capability of previous generation
measurement tools of up to 30%. This in turn leads to improved pattern placement metrology fleet capability and
extended useful lifetime of capital equipment.
The use of multiple high end registration measurement tools enables the creation of a 2D coordinate system standard,
which could be used for improved fleet matching and would help improve the capability of older generation pattern
placement metrology tools by matching to this standard. Within this paper Golden Tool and Round Robin worldwide
fleet matching approaches are compared and discussed.
A requirement for CD control on wafer is increasing with shrinking design rule[1]. This is especially true for dense
contacts because of higher MEEF. It is considered that contact mask LER impact on lithographic performance is
comparatively large. Nevertheless, a relationship between contact mask LER and wafer performance has not been
evaluated in recent years.
Therefore we studied contact mask roughness impact on wafer in order to determine specs for improvement of
mask quality. We assumed the thin MoSi binary mask which was called Opaque MoSi On Glass (OMOG). The
programmed roughness patterns data for 28 nm nodes was made. The frequency and depth of roughness was
changed. In addition, we also drew bump patterns. A lithography simulator was used to investigate which kind of
mask roughness impacted significantly on wafer. We compared the difference between wafer experiment and
simulation. Finally a relationship between contact mask roughness and lithographic performance was obtained.
The extension of optical projection lithography beyond the 22-nm node requires strong Resolution Enhancement
Techniques (RETs) such as aggressive Optical Proximity Correction (OPC), computational lithography, double
patterning and others. These strong RETs make photomask patterns more complex, photomask specifications tighter,
and the metrology demands greater. Currently Photomask Critical Dimension (CD) and image placement are
characterized by measuring a few hundred points sampled across the entire mask area; however more sampling points in
active patterns or even full area inspection will be required to support strong RETs. Inspection tools are developing
capabilities in CD and Image placement mapping. Although measurement precision, resolution, stage position accuracy
and other metrology functions would be inferior to a metrology tool, the sampling is intrinsically larger, including the
entire active area. The inspection tool could satisfy the large sampling requirements while a subset of patterns could be
measured with traditional CD and Image placement metrology tools with the required precision. Therefore the fusion of
metrology and inspection tools would satisfy both the full area CD and Image placement measurement: coarse inspection
in CD and Image placement with the inspection tool and verification with metrology tools measuring the CD and/or
Image placement errors identified by the inspection tool. Next generation metrology tool and inspection tools also
leverage the aerial plane image for inspection and metrology. This is important since the strong RETs generate very
complex patterns that are difficult to measure reliably with a CDSEM. The aerial image retains a simple shape though
photomask patterns are extremely complex. Using aerial image metrology techniques simplifies CD measurement on
complex patterns and enables measurement of lithographically critical features. Measuring what matters most of wafer
could lead to relaxed mask CD specifications and permit more sophisticated CD correction methods.
KEYWORDS: Scanning electron microscopy, Monte Carlo methods, Edge detection, Detection and tracking algorithms, Image processing, Photomasks, Image filtering, Algorithm development, Printing, 3D image processing
We present a comparison of different methods to extract area information from images. Two different physical-based
algorithms were tested which determine the areas of arbitrarily shaped 3D nano-structures on wafers or photo-masks
(e.g. contact holes) using secondary electron images of scanning electron microscopy (SEM). One of these algorithms,
called NANOAREA, was developed by the PTB. The other one is the software package MaskEXPRESS, which was
developed by Toppan Printing Co., Ltd.
In addition to real SEM images we used Monte Carlo generated SEM images of contact holes of different shapes and
sizes. For this, the Monte Carlo simulation program MCSEM, developed at PTB, was applied. MCSEM simulates the
electron diffusion and secondary electron generation and transport in solid state material and provides simulated SEM
images of arbitrary 3D specimen structures.
NANOAREA uses basic image processing routines to estimate the edge position of a structure. Then, one-dimensional
profiles which intersect the structure boundary perpendicularly are extracted. A one-dimensional edge detection
algorithm determines the edge position on each profile. Finally these detected edge positions are used to calculate
the polygon area using the triangle method. NANOAREA showed a very small underestimation of the area of about
0.3 % with regard to the Monte Carlo simulations (i.e. sub-pixel deviation).
MaskEXPRESS has a similar approach, however employs a different edge detection algorithm. For quadratic contact
holes a very high correlation coefficient r larger than 0.99 of the CDs was seen with an offset of about 0.3 nm for the
two tested programs. Here the critical dimension (CD) is defined as the square root of the area. The deviations from
the mean offset were smaller than 1 nm over the whole investigated range. For analysis of arbitrarily shaped features
we used a double T-shaped structure. Also here almost perfect correlation was found (r = 0.98). The observed mean
offset in this case was also about 0.3 nm. The offsets depend on the length of the edge and can vary with the shape of
the structure, too.
Here we report the excellent correlation of the investigated algorithms and programs to determine area parameters
from SEM images. The results found are an important prerequisite for harmonized area measurement based on
independent algorithms and pave the way to a standardized approach to area determination and reporting of
photomask structures.
In order to analyze small reticle defects quantitatively, we have developed a function to measure differences in two
patterns using contour data extracted from SEM images. This function employs sub-pixel contour data extracted with high
accuracy to quantify a slight difference by ΔCD and ΔArea. We assessed the measurement uncertainty of the function with a
test mask and compared the sizes of programmed defects by each of conventional and proposed methods. We have also
investigated a correlation between measured minute defects in high MEEF (Mask Error Enhancement Factor) regions and
aerial images obtained by AIMS (Aerial Image Measurement System) tool. In this paper, we will explain the Contour
Comparison Measurement function jointly developed by Toppan and Advantest and will show its effectiveness for photomask
defect analyses.
KEYWORDS: Scanning electron microscopy, Photomasks, Critical dimension metrology, Electron beams, Metrology, Electron microscopes, Process control, OLE for process control, Image resolution, Beam controllers
Measurement of resist critical dimensions (CDs) utilizing a scanning electron microscope (SEM)
based metrology system causes the resist to change due to irradiation effects of the electrons. A new
and novel scanning approach has been developed in an effort to minimize the effects electron
irradiation and exposure during the measurement process. This technique is especially pertinent in
view of the tightening requirements for process control to achieve single digit CD uniformity on
leading edge photo masks being produced today. The measurement of OPC features necessitates
utilization of SEM based metrology due to resolution requirements, but the effects of high
magnification imaging presents unique challenges. By controlling the scanned region of interest
(ROI) it is possible to reduce exposure and irradiation effects. This paper will detail this new
approach as it is utilized on the LWM9045 SEM Metrology system. The LWM9000SEM mask CD
SEM was introduced earlier.
Photomask pattern sizes are usually defined by a one-dimensional Critical Dimension (CD). As mask pattern shapes
become more complex, a single CD no longer provides sufficient information to characterize the mask feature. For
simple square contacts, an area measurement is generally accepted as a better choice for determining contact uniformity.
However, the area metric may not adequately characterize complex shapes; it does not lend itself to CD metrology and it
ignores pattern placement. This paper investigates new ways of measuring complex mask shapes with aggressive Optical
Proximity Correction (OPC). An example of more informative metric is center of gravity. This new metric will be
compared to more traditional mask characterization variables like CD mean to target, CD uniformity, and Image
Placement (IP). Wafer simulations of the mask shapes will be used to understand which mask pattern metrics are most
representative of the image transferred to wafer images. The results will be discussed in terms of their potential to
improve mask quality for 32nm technology and beyond.
Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask
suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view,
the manufacturing location of a photomask should be transparent to the customer (mask user).
Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee
cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going
program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility
and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to
match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature
which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations.
We will report on the results of the global matching campaign of several of the leading Toppan sites.
The application of aggressive Optical Proximity Correction (OPC) has permitted the extension of advanced lithographic
technologies. OPC is also the source of challenges for the mask-maker. Small shapes between features and highly-fragmented
edges in the design data are difficult to reproduce on masks and even more difficult to measure exactly with
CD-SEM, which requires not only tool stability but also better measurement methods. To cope with this problem, we
have been focusing on finding better methods for measuring actual mask Critical Dimension (CD) that would show a
good correlation to wafer CD. In BACUS 2006, we presented an effective measurement for closed patterns, which is
"area measurement". In time paper we are introducing new potential solution, which include a reliable method, distance
measurement, for certain types of unclosed patterns.
For instance, we evaluated an unclosed pattern which couldn't be measured with Region of Interest (ROI) that is large
enough, and found a reliable method, Distance ROI. Though the method has a major drawback of image tilt, we also
found an approach to avoid this. Finally we verified that Distance ROI could be new solution for unclosed patterns by
jointly applying tilt monitoring, beam rotation correction, and area scan.
Optical Proximity Correction (OPC) relies on predictive modeling to achieve consistent wafer results. To that end,
understanding all sources of variation is essential to the successful implementation of OPC. This paper focuses on
challenging SRAM layouts of contacts to study the sources of wafer variation. A range of shape geometries and contact
configurations are studied. Contact shapes are no longer restricted to simple rectangles on the mask, some more complex
OPC outputs may include shapes like H's or T's or even more fragmented figures. The result is a large group of
parameters that can be measured at both mask and wafer level. The dependence of mask variation on geometry is studied
through the statistical distributions of parameter variations. The mask metrology output is expanded from traditional
linear dimensional measurements to include area, line edge roughness, corner rounding, and shape-to-shape metrics.
Wafer mask error enhancement factor (MEEF) is then calculated for the various contact geometries. This collection of
data makes it possible to study variation on many levels and determine the underlying source of wafer variations so that,
ultimately, they can be minimized.
Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP
applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished
CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with
a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask
shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired
points during photomask production before the pellicle is mounted. There is a significant benefit to
measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology
would verify mask effects on the same features that are characterized on wafer. On-site mask verification
would enable quality control and trouble-shooting without returning the mask to a mask house. Another
potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze,
oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer
fab. The ability to make line-return measurements without risking defect introduction is clearly attractive.
This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore
the effects of several different pellicle types on scatterometry measurements made with broadband light in
the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.
Contact holes represent one of the biggest critical dimension (CD) mask metrology challenges for 45nm technology mask development. The challenge is a consequence of both wafer and mask sensitivities. Large mask error factors and the small process windows found when contact holes are imaged on wafers impose very tight mask specifications for CD uniformity. The resultant CD error budget leaves little room for mask metrology. Current advanced mask metrology deploys a CD-SEM to characterize the mask contact hole CD uniformity. Measuring a contact hole is complex since it is inherently two-dimensional and is not always well-characterized by one-dimensional x- and y-axis measurements. This paper will investigate contact metrics such as line edge roughness (LER), region of interest (ROI) size, area, and CD sampling methods. The relative merits of each will be explored. Ultimately, an understanding of the connection between what is physically measured on the mask and what impacts wafer imaging must be understood. Simulations will be presented to explore the printability of a contact hole's physical attributes. The results will be summarized into a discussion of optimal contact hole metrology for 45nm technology node masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.