The shrink of device node to 65 and 45nm node masks mask manufacturers paying their attention to repair process in
terms of mask cost efficiency. Thus, it is very important to define the repair performance accurately and introduce
adequate tools timely. Usually the repair performance has been expressed as an edge placement error, transmittance
change and quartz damage. We have used the measuring tools such as CD SEM, AFM and AIMS to measure those
factors and the 2D simulator, Solid C to predict the repair performance. In this case, 3D topographical effect is not
considered. However, the 3D topography of pattern becomes quite important for 45 nm node or less.
ArF immersion lithography is the strongest candidate for the 45 nm node. The immersion technology makes it
possible to use of hyper NA systems1. Hyper NA will increase the polarization effect of illumination source2. Therefore,
the topography of pattern is quite important with respect to the intensity and the polarization of various diffraction
orders. This paper presents repair specifications based on the Solid E 3D simulator of the 45 nm node.
Defect-free mask is a dream of mask makers. Repair technology [1] that removes defects on Att. PSM is getting more attentions than ever. Therefore the fast and precise verification of repaired results is highly required. Most confirmation methods are carried out by using the inspection system because it is faster than AIMS to verify the repaired results. However, the accuracy of the verification using the inspection system cannot be compared to it with AIMS in the view of printability. In this paper, the results of optical simulation using top-down repair image are compared with those of AIMS for rapid confirmation of repaired results with competitive accuracy. Also, neural network which can compute the complex non-linear relationships easily are used to increase the accuracy of repair simulation.
As the design rule of lithography becomes smaller, printability of reticle defect to wafer is critical for the photomask manufacturing technology. In order to improve the controllability of reticle defects, inspection and repair systems are expanding their capability by continuously modifying hardware and software. This is a good solution to detect and review the defect but it is indirect approaching to reduce the defect in the photomask process. To produce the photomask of defect free or low defect density, effort is needed to improve the capability of defect control in the mask-making process and to evaluate the source of hard defect as well as soft defect. In this paper, we concern the defect source and the feature of printed defects in photomask manufacturing steps. We also discuss the efforts to eliminate the defect source and to control the mask-making process with low defect density. In order to eliminate the source of defects, we partition the mask-making process with defect inspection system, SLF27 TeraStar and Lasertec MD2000, and review a defect shape with CD SEM and AFM. And we compare printed defects, which exist in each process steps, after dry etching process.
Haze is a kind of surface contamination on photomask and lithography optics that made by photochemical reaction. There are many problems in photomask manufacturing, inspection and lithography process because of slowly growing feature of haze. In the photolithography process, the wafer damage has been occurred due to the time dependent growth of haze. In this study, we identified the origin and formation mechanism of haze using accelerated contamination experiments, also developed control method for haze, in which the removal efficiency was confirmed by mass production of photomask. From these results we expect that the photocontamination control technology should be developed and been an important part of NGL technology.
As the feature size of integrated circuits shrinks, the demands for the critical dimension (CD) uniformity on wafers are becoming tighter. In the era of low k1, moreover, mask CD uniformity should be controlled even more stringently due to the higher mask error enhancement factor (MEEF). Mask CD non-uniformity can originate from several sources which include photomask blanks and mask-making processes (exposure, post-exposure bake (PEB), development, and etch processes). Analyzing the CD error sources and eliminating the origins are very important tasks in optimization of mask-manufacturing processes. In this paper, we focus on the side error in mask CD uniformity and present a simple method for separating and evaluating the origins. Especially, quantitative analysis of the side errors induced by photomask blanks and mask-making processes, respectively, is given. Photomask blanks are found to be one of the main sources of the side error and it is shown that the temperature distribution of the PEB process during the ramp-up as well as the stable period should be maintained uniformly for chemically amplified resist (CAR) blanks in order to reduce the process-induced side error.
As the design rule of lithography becomes smaller, printability of reticle defect to wafer is crucial for the photomask manufacturing technology. In order to improve the controllability of reticle defects, inspection and repair systems are expanding their capability by continuously modifying hardware and software. This is a good solution to detect and review the defect but it is indirect approaching to reduce the defect in the photomask process. To produce the photomask of defect free or low defect density, effort is needed to improve the capability of defect control in the mask-making process and to evaluate the source of hard defect as well as soft defect.
In this paper, we concern the defect source and the feature of printed defects in photomask manufacturing steps. We also discuss the efforts to eliminate the defect source and to control the mask-making process with low defect density. In order to eliminate the source of defects, we partition the mask-making process with defect inspection system, SLF27 TeraStar and Lasertec MD2000, and review a defect shape with CD SEM and AFM. And we compare printed defects, which exist in each process steps, after dry etching process.
We investigated the thickness and optical constants, n and k, changes of the 193 nm chemically amplified resist for different thicknesses and soft bake conditions with in-situ measurements. During soft bake, the thickness, n and k change abruptly up to 90 s, then they settled down to certain values. It has been found that the optical properties of the resist after soft bake depend on the final resist thickness. The relationships between the optical constants and the resist thickness after soft bake were extracted from the experimental results and applied to our simulation. A series of simulations were carried out for various resist thicknesses. The simulation results showed considerable changes in line width when the changes of n and k after soft bake were considered. The results indicate that the changes of the optical constants by soft bake are not negligible and they can affect the lithography process significantly. Especially for the thin resist with a smaller critical dimension, the line width variation due to n and k change by soft bake becomes more significant and should be considered in simulation.
KEYWORDS: Semiconducting wafers, Temperature metrology, Silicon, Quartz, Chemically amplified resists, Scanning electron microscopy, Cerium, Photoresist materials, Lithography, Process control
The deprotection of chemically amplified resist is amplified by photogenerated acid during post exposure bake. The deprotection rate is mainly dependent on bake temperature and time. It has been assumed that the temperature of wafer surface and photoresist is to be raised instantaneously up to desired set temperature, but in real world it can not happen. We investigated the temperature change of wafer surface on a hot plate and obtained effective post exposure bake time. We applied the effective post exposure bake time to our simulation tool and the simulation results showed a better agreement with the experimental resist profile.
Some of the important areas to be improved for lithography simulation are getting correct exposure parameters and determining the change of refractive index. It is known that the real and imaginary refractive indices are changed during exposure. We obtained these refractive index changes during exposure for 193 nm chemically amplified resists. The variations of the transmittance as well as the resist thickness were measured during ArF excimer laser exposure. We found that the refractive index change is directly related to the concentration of the photo acid generator and de-protected resin. It is important to know the exact values of acid concentration from the exposure parameters since a small difference in acid concentration magnifies the variation in the amplified de-protection during post exposure bake. We developed and used a method to extract Dill ABC exposure parameters for 193 nm chemically amplified resist from the refractive index change upon exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.