Hierarchical structures that combine microlens arays and nanostructures are broadly studied to reproduce the physicochemical properties found in the moth-eyes. Multiscale structures offer multifunctional properties of great significance in optoelectronic devices. The first approaches reported in the literature to achieve these hierarchical structures were to use the compound eye of a moth as a biological mold. Several researchers have successfully produced hierarchical microlens structures with conventional lithography or etching techniques. However, these studies limit the achievement of these structures to a poor variety of dimensions or shapes. Here we present a new method to achieve hierarchical patterning of sub-10 µm 3D structure (lens or bowl) with nanostructure patterns of lines or contacts.
The on-device and target-less overlay (OVL) measurement adds various challenges to the conventional target-based one.1 Most of the common solutions are based on the use of high voltage SEM to have a high resolution and see-through capabilities to see both layers entirely. To ensure repeatability and robustness the measurement is also generally realized on dedicated targets.2–4 Another approach is explored in this work : it consists of a direct measurement on the device itself, without any dedicated target and using a CD-SEM that do not have see-through capabilities. To avoid any damage on the final device and to ensure a partial vision of the bottom layer, the measurement is realized post etch. What can a true on-device, target-less, SEM overlay metrology reveal of the process? To answer this question, a custom design-based on-device CD-SEM overlay metrology was developed.5 It allows measuring the overlay on any bilayer 2D structure, even partially hidden, provided that sufficient edges are visible. Thanks to this post-processing capacity to measure on partially hidden patterns, the CD-SEMs from the current tool park can be used and non-transparent layers can be investigated. After demonstrating the capability of the previously mentioned solution on synthetic SEM images,6 by taking advantage of the versatility of the method and of an improved bilayer contour extraction algorithm, one product wafer was measured densely at different steps of the process on several patterns. These extensive measurements aim to reveal overlay signatures at different spatial frequencies: intra-field, intra-chip and even inside a random logic array. This paper details and interprets the observed overlay measurement with models while investigating the matching between the different measurement patterns and the mismatches with the optical overlay. To conclude, the contour-based metrology offers the capability to extract highly valuable information from CD-SEM images and represents a great opportunity for on-device overlay measurement. By measuring directly the products’ patterns, the developed metrology shows a mismatch between optical and SEM measurements up to a couple of nanometers. A hybrid model, mixing SEM and optical measurements reduced by 15% the on-product residuals. Additionally, the local overlay variability could be evaluated and low amplitude chip signatures have been observed. This new information will help process engineers to improve the process characterization, leading to a better process control that results in an improved reliability.
The shift of semiconductor industry applications into demanding markets as spatial and automotive led to high quality requirements to guaranty good performances and reliability in harsh environments. As reliability is directly related to a well-controlled process, characterizing the local overlay and its variations inside the chip itself becomes a real asset. While most available in-chip overlay metrologies require dedicated target or dedicated tools, we developed a new method that aims to augment the current SEM tool park into measuring the local overlay directly on the product. In a previous proceeding, this on-device and target-free overlay measurement based on CD-SEM contours has been assessed on SRAM patterns and showed promising results. The work presented here pushes forward this assessment using SEM synthetic images generated from the open-source Nebula simulator of electron-matter interaction. From a layout, a 3D geometry of the measured pattern can be generated, with materials and interfaces carefully defined. Then, a GPU-accelerated Monte-Carlo model simulates in tens of seconds the SEM image. This fast generation of images enables the use of synthetic SEM images in a digital twin system: they can be used to characterize and to challenge the overlay metrology, before applying it to real products. Indeed, a known overlay can be programmed in these images. This way the performances of the measurement algorithm can be assessed with a ground truth reference. Firstly, imaging parameters such as pixel size and noise have been varied in a wide range. This demonstrated a good accuracy and precision inside a defined measurement window with a coefficient of correlation above 0.996 and an offset lower than 0.2nm. In a second part, the influence of the pattern measured has been investigated and experimental results on SRAM could be reproduced using synthetic images. The origin of the loss of sensitivity has been identified and improvements in the contour extractions and used template led to a correlation with a slope of 1.03, an offset of 0.1nm and a Root Mean Square Deviation of 1.36 nm. Finally, the developed digital twin already showed behaviors in the measurement that were hidden in the on-wafer experiments, that helped assessing the method and which will be used in the future to define guidelines for template-based SEM-OVL measurements.
Overlay is a critical parameter for any semi-conductor foundry, with a direct impact on the fabrication yield, on the quality, and on the performances of the product. Being able to full the overlay constraints has also a direct in influence on the capacity to scale down and to integrate vertically. In addition, the shift of semiconductor applications into more demanding markets such as spatial and automotive leads to higher specifications for the process control. In the semiconductor manufacturing, the overlay is usually measured optically using dedicated targets in the scribe lines. However, targets differ from the product by their dimensions of an order of magnitude larger and by their position up to a few millimeters far from it. This can lead to residual errors and mismatches in the correction sent to the scanner, thus lowering the fabrication yield and the global product quality. For years, many SEM in-device overlay techniques have been published, however they are generally related to new generation of SEM imaging and metrology equipment and require a new dedicated target. In our case, the ambition is to extend the usage of our current CD-SEM tool park. To do so, an on-device and target-free overlay measurement process has been developed. It is based on sub-pixel contour extractions from CD-SEM images and on the use of the design. From a single image, contours of the two layers of interest are extracted from the SEM image and a custom algorithm calculates the overlay as a difference of the realigned design centroids. This dedicated algorithm allows extracting various overlay measurements from one image and enables a production friendly implementation. To evaluate the performances of this method, it has been applied on the patterns of a SRAM with scanner-programmed overlay. The measurements are compared to the conventional optical measurements. On a basis of thousands on-device measurements, the developed method showed a promising 95% rate of successful measurements. Good correlation between the optical model and the CD-SEM measured overlay on the SRAM has been demonstrated, reaching a coefficient of correlation of 0.99 on a pattern where conventional centroid or CD based overlay are limited. Finally, the flexibility of the method to measure various patterns with an ease of recipe creation is shown. Contour-based metrology offers the capability to extract highly valuable information from SEM images while keeping the layers differentiation. The proposed measurement process, being automated and requiring relatively low human inputs is a promising solution for a SEM on device overlay metrology suitable in a high-volume manufacturing environment.
3D and nanoscale dimensions make patterning extremely difficult to perform. In the past, patterning via plasma etching was a success thanks to the very good capacity of this process to etch one preferential material over the others: selective etching. Next step for advanced patterning will be to add a selective deposition step in addition to the etch one. Good examples are area selective deposition and topographical selective deposition. They will be discuss in this presentation
Directed Self Assembly (DSA) of block-copolymers (BCPs) is considered as a cost-effective solution to extend the performances of conventional lithography. In this work, we propose a smart surface modification technique to precisely control the surface affinity of guiding template used in the DSA graphoepitaxy process flows. The presented method consists in the UV irradiation of copolymers brushes in order to locally tune their surface affinity. By this way, we are able to differentiate the surface affinities of guide sidewalls (PMMA-attractive) and guide bottom (non-preferential affinity). A complete DSA-module is demonstrated and implemented on a 300mm integration flow dedicated to the creation of silicon nanowires-like transistor.
Fabrication processes that microelectronic developed for Integrated circuit (IC) technologies for decades, do not meet the new emerging structuration’s requirements, in particular non-IC related technologies one, such as MEMS/NEMS, Micro-Fluidics, photovoltaics, lenses. Actually complex 3D structuration requires complex lithography patterning approaches such as gray-scale electron beam lithography, laser ablation, focused ion beam lithography, two photon polymerization. It is now challenging to find cheaper and easiest technique to achieve 3D structures.
In this work, we propose a straightforward process to realize 3D structuration, intended for silicon based materials (Si, SiN, SiOCH). This structuration technique is based on nano-imprint lithography (NIL), ion implantation and selective wet etching. In a first step a pattern is performed by lithography on a substrate, then ion implantation is realized through a resist mask in order to create localized modifications in the material, thus the pattern is transferred into the subjacent layer. Finally, after the resist stripping, a selective wet etching is carried out to remove selectively the modified material regarding the non-modified one.
In this paper, we will first present results achieved with simple 2D line array pattern processed either on Silicon or SiOCH samples. This step have been carried out to demonstrate the feasibility of this new structuration process. SEM pictures reveals that “infinite” selectivity between the implanted areas versus the non-implanted one could be achieved. We will show that a key combination between the type of implanted ion species and wet etching chemistries is required to obtain such results.
The mechanisms understanding involved during both implantation and wet etching processes will also be presented through fine characterizations with Photoluminescence, Raman and Secondary Ion Mass Spectrometry (SIMS) for silicon samples, and ellipso-porosimetry and Fourier Transform InfraRed spectroscopy (FTIR) for SiOCH samples. Finally the benefit of this new patterning approach will be presented on 3D patterns structures.
KEYWORDS: Directed self assembly, Lithography, Line width roughness, Nanoimprint lithography, Semiconducting wafers, Etching, Electron beam lithography, System on a chip, Critical dimension metrology, Photoresist processing
In the lithography landscape, EUV technology recovered some credibility recently. However, its large adoption remains uncertain. Meanwhile, 193nm immersion lithography, with multiple-patterning strategies, supports the industry preference for advanced-node developments. In this landscape, lithography alternatives maintain promise for continued R&D. Massively parallel electron-beam and nano-imprint lithography techniques remain highly attractive, as they can provide noteworthy cost-of-ownership benefits. Directed self-assembly lithography shows promising resolution capabilities and appears to be an option to reduce multi-patterning strategies. Even if large amount of efforts are dedicated to overcome the lithography side issues, these solutions introduce also new challenges and opportunities for the integration schemes.
Overcoming the optical limitations of 193-nm immersion lithography can be achieved using directed self-assembly (DSA) of block-copolymers (BCPs) as a low-cost and versatile complementary technique. The goal of this paper is to investigate the potential of DSA to address line and space (L/S) high-resolution patterning by performing the density multiplication of lines with the graphoepitaxy approach. As surface affinity is a key parameter in self-assembly, three variations, or “flavors,” of DSA template affinity are investigated regarding several success criteria such as morphology control or defectivity. More precisely, both the methodology to register DSA defects and the impact of process parameters on defectivity are detailed. Using the 300-mm pilot line available in LETI and Arkema’s advanced materials, we investigate process optimization of DSA line/space patterning of a 38-nm period lamellar PS-b-PMMA BCP (L38). Our integration scheme is based on BCP self-assembly inside organic hard mask guiding patterns obtained using 193i-nm lithography. Defect analysis coupled with the fine tuning of process parameters (annealing, brush material) provided the optimum conditions for the L38 self-assembly. Using such conditions, DSA using the three affinity flavors is investigated by means of SEM top-view and cross-section review. Lithographic performances of one selected flavor are then evaluated with the comparison of process windows function of either commensurability, morphology, or roughness. This work is meant as a guideline for the graphoepitaxy optimization of materials and process parameters on a 300-mm platform.
For sub-10nm technologies, the semiconductor industry is facing the limits of conventional lithography to achieve narrow dimensions. DSA (Directed Self-Assembly) of Block Copolymers (BCP) is one of the most promising solutions to reach sub-10nm patterns with a high density. One challenge for DSA integration is the removal of PMMA selectively to PS. In this paper, we propose to study PMMA removal selectively to PS by screening different plasma etch chemistries. These chemistries developed on blanket wafers have been tested on cylindrical and lamellar patterned wafers.
Overcoming the optical limitations of 193nm immersion lithography can be achieved using Directed Self Assembly (DSA) of block-copolymers (BCPs) as a low-cost and versatile complementary technique. The goal of this paper is to investigate the potential of DSA to address line and space (L/S) high resolution patterning by performing the density multiplication of lines with the graphoepitaxy approach. As surface affinity is a key parameter in self-assembly, three variations, or "flavors", of DSA template affinity are investigated regarding several success criteria such as morphology control or defectivity. More precisely, both the methodology to register DSA defects and the impact of process parameters on defectivity are detailed. Using the 300mm pilot line available in LETI and Arkema’s advanced materials, we investigate process optimization of DSA line/space patterning of a 38nm period lamellar PS-b-PMMA BCP (L38). For this study, our integration scheme, depicted in figure 2-1, is based on BCP self-assembly inside organic hard mask guiding patterns obtained using 193i nm lithography. Defect analysis coupled with the fine tuning of process parameters (annealing, brush material) provided the optimum conditions for the L38 self-assembly. Using such conditions, DSA using the three affinity flavors is investigated by means of SEM top-view and cross-section review. Lithographic performances of one selected flavor are then evaluated with the comparison of Process Windows (PWs) function of either commensurability, morphology or LWR. This work is a first step in finding the best process for an industrial graphoepitaxy approach.
Directed Self-Assembly (DSA) of Block Copolymers (BCP) is one of the most promising solutions for sub-10 nm nodes. However, some challenges need to be addressed for a complete adoption of DSA in manufacturing such as achieving DSA-friendly design, low defectivity and accurate pattern placement. In this paper, we propose to discuss the DSA integration flows using graphoepitaxy for contact-hole patterning application. DSA process dependence on guiding pattern density has been studied and solved thanks to a new approach called “DSA planarization”. The capabilities of this new approach have been evaluated in terms of defectivity, Critical Dimension (CD) control and uniformity before and after DSA etching transfer.
KEYWORDS: Etching, Electron beam lithography, Polymethylmethacrylate, Silicon, Picosecond phenomena, Photomasks, Chemistry, Lithography, System on a chip, Metals
For 11nm and below, several alternatives are still potential candidates to meet the patterning requirements. Spacer patterning, Mask Less Lithography (i.e. Electron beam lithography) and Direct Self Assembly are alternatives under development at CEA-LETI. We have demonstrated the integration of these alternative techniques in front end of line and back end of line levels. Common challenges such as minimum achievable CD, CD control through the integration steps, mask budget and LWR were compared for these techniques.
Since more than 30 years, CW plasmas have been used in the microelectronics industry to pattern complex
stacks of materials involved in Integrated Circuit technologies. Even if miniaturization challenges have been successfully
addressed thanks to plasma patterning technologies, several fundamental limitations of the plasmas remain and are
limiting our ability to shrink further the device dimensions. In this work, we analyze the capabilities of synchronized
pulsed ICP technologies and their potential benefits for front end etch process performance.
The impact of duty cycle and frequency on the ion energy distribution function and plasma chemistry is
analyzed. Our results show that decreasing the duty cycle in ICP plasmas generates less fragmentation of the feed gas
stock molecules compared to CW plasmas, leading in final to a decrease of the radical density in the plasma. On a process point of view, we have studied the etching of ultra-thin layers (SiO2, HfO2,SiN spacer) involved in front end processes and investigated what synchronized pulsed plasmas could bring to substrate damage and selectivity issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.