KEYWORDS: Signal attenuation, Photomasks, Semiconducting wafers, Critical dimension metrology, Scanners, Multilayers, Objectives, Deep ultraviolet, Lenses, Chemical elements
Tight control of intra-field CD variations becomes more and more important as the pattern sizes on wafer shrink. For
intra-field CD uniformity improvement several techniques have been developed. A very effective method is changing the
local mask blank transmittance according to measured Intra Field (IF) CD variations using Pixer's CDCTM technique.
This process is irreversible. For various practical reasons it would be helpful to have the opportunity for a second or
more mask blank treatments. A first application could be to improve an unsatisfying CDU post first treatment. A second
application can be the switch of the mask usage to another tool group. Furthermore, the opportunity to use multiple CDC
treatments would allow the splitting of the correction process for the mask and the tool separately, whereas in a first
correction only the mask CDU errors will be corrected and after the mask is supplied to the customer another correction
may be required to reduce the exposure tool contributions to the CDU budget.
Therefore the intention of the paper is to evaluate the opportunities of a Multiple CDC (MCDC) correction process, to
determine its accuracy and the corresponding limits.
To do this two CDC tool projection lenses have been characterized, which have been developed for different focus
positions. We will characterize their transmittance transfer performance, stability and sensitivities. The required multiple
layer distances will be determined. The linearity of the multiple CDC treatment will be analyzed using AIMSTM
measurements and wafer prints. We will present results of successful multiple CDC corrections for production masks.
Intra-field CD variation is a main contributor to the total CD variation budget in IC manufacturing. It is essentially
caused by mask CD variations and imperfections of the exposure tool. Techniques to reduce the IF CD error will be
introduced. Tool and mask based CDU improvement techniques will be compared. Their CDU improvement potential
and their correction accuracy will be analyzed. The correction methodology will be discussed, specifically none-wafer
based CD measurement techniques as correction data input. Implementation efforts of the techniques will be compared.
Chromeless Phase Lithography (CPL) is discussed as interesting option for the 65nm node and beyond offering high resolution and small Mask Error Enhancement Factor. However, it was shown recently that at high NA CPL masks can exhibit large polarization and also phase effects. A well known phase effect occurring for CPL semi dense lines are through focus Bossung tilts.
However, another manifestation of phase effects for dense lines and spaces is a reduced contrast for a symmetrical off-axis illumination due to phase errors between 0th and 1st diffraction order. In this paper it is shown that these phase effects can lead to a significant contrast loss for dense features smaller than 60nm half pitch. While also present for trench structures, the contrast reduction is more pronounced for mesa style structures. It is shown that for mesa structures an adjustment of etch depth can not recover an effective pi-phase shift. Furthermore, significant polarization effects are observed. As an example, the optimum mesa structure for TE polarization is shifted to small lines.
For an experimental validation, a CPL mask containing dense lines and spaces was fabricated. Their imaging performance was characterized with an AIMS 45i offering NA's greater than 1 and linearly polarized illumination as well as by wafer printing. Gratings with pitches down to 100 nm with varying duty cycles were measured with TE, TM and unpolarized dipole illumination. Very good agreement between measurement and simulation results confirmed the validity of theoretical predictions.
Intra-field CD variation is, besides OPC errors, a main contributor to the total CD variation budget in IC manufacturing. It is caused mainly by mask CD errors. In advanced memory device manufacturing the minimum features are close to the resolution limit resulting in large mask error enhancement factors hence large intra-field CD variations. Consequently tight CD Control (CDC) of the mask features is required, which results in increasing significantly the cost of mask and hence the litho process costs. Alternatively there is a search for such techniques (1) which will allow improving the intrafield CD control for a given moderate mask and scanner imaging performance. Currently a new technique (2) has been proposed which is based on correcting the printed CD by applying shading elements generated in the substrate bulk of the mask by ultrashort pulsed laser exposure. The blank transmittance across a feature is controlled by changing the density of light scattering pixels. The technique has been demonstrated to be very successful in correcting intra-field CD variations caused by the mask and the projection system (2). A key application criterion of this technique in device
manufacturing is the stability of the absorbing pixels against DUV light irradiation being applied during mask projection in scanners.
This paper describes the procedures and results of such an investigation. To do it with acceptable effort a special experimental setup has been chosen allowing an evaluation within reasonable time. A 193nm excimer laser with pulse duration of 25 ns has been used for blank irradiation. Accumulated dose equivalent to 100,000 300 mm wafer exposures has been applied to Half Tone PSM mask areas with and without CDC shadowing elements. This allows the
discrimination of effects appearing in treated and untreated glass regions. Several intensities have been investigated to define an acceptable threshold intensity to avoid glass compaction or generation of color centers in the glass. The impact of the irradiation on the mask transmittance of both areas has been studied by measurements of the printed CD on wafer using a wafer scanner before and after DUV irradiation.
Alternating Phase-Shifting masks (altPSM) are known to provide high contrast imaging combined with a low Mask Error Enhancement Factor (MEEF) at low k1. At feature sizes close to 60nm half-pitch and less the impact of mask topography effects increases. This applies in particular for altPSM. This is due to the quartz etch which is required for every second mask aperture to obtain the 180 degrees phase shift. It enlarges the mask profile height significantly. The influence of the quartz trench profile on the transmission and phase balancing performance has already been studied extensively. Basically it has been shown, that tighter quartz trench profile control, specifically for etch depth and width, is required with decreasing mask feature half pitch. The desired mask pattern geometry optimization is currently based on an evaluation of the printed resist pattern over defocus. However, a mask process engineer can use instead only AIMS measurements of the mask features. Therefore there is a mature interest to check, how good such measurements can replace resist pattern measurements. In the paper therefore it is evaluated how accurate AIMS measurements can describe the real printing performance of an alternating PSM in resist. Impact of differences of the image formation is investigated by use of analytical expressions. Furthermore, the influence of tool imperfections and the presence of resist are discussed. The theoretical results are compared to experimental data taken from AIMS measurements and wafer prints.
High NA scanners with adjustable polarization are becoming commercially available. Linear polarization has been shown to significantly improve imaging performance of preferentially oriented lines. Azimuthal and tangential polarization are now becoming commercially available. The latter has less asymmetry in its imaging and can resolve critical features oriented in multiple directions at the same time. Linear y-oriented or vertical polarization was used, since at the time of this work, azimuthal and tangential polarization were not available. Such x- and y-oriented linear polarization could be used in double exposure imaging, for example. Just as for unpolarized imaging, OPC models are required for polarized imaging that are accurate in (a) fitting and predicting experimental CD values, (b) fragmenting layout, and (c) correcting the fragmented layout to target. This paper describes the results of such a first OPC verification loop. Experimental proximity data in X- and Y-orientation were measured. Source polarization and wafer stack thin film effects were included in the empirically fit OPC simulation model. A parallel investigation was undertaken using an unpolarized source. It served as the reference case. Simple test patterns as well product-like 2D layout was treated with the vertically polarized and unpolarized OPC models. A test mask was written and wafer printing results obtained. They demonstrated the validity of the approach and pointed to further OPC model improvements.
For leading mask technologies the mask inspection for finding critical defects is always a difficult task. With the introduction of chrome-less, high-transmission and alternating mask types, new absorber material and the possibility of quartz defects the defect inspection and -classification becomes even more challenging. To decide whether a defect is critical or a repair is successful, the Zeiss AIMS tool is used to classify defects. For conventional imaging the optical settings are usually chosen such that resolution is maximized, for example a dipole illumination is used for imaging a dense line-space array at an optimum contrast. In this paper we will do the opposite and reduce the optical resolution, such that we can filter out the array pattern and study the resulting defect image. This technique allows using a simple threshold detector to find and classify defects.
The Cr-less Phase Shift Mask (CLPSM) has been considered as one of the most practical resolution enhancement techniques (RET) solution providing low Mask Error Enhancement Factor (MEEF) for low k1 geometries for memory and logic semiconductor devices. There are several papers that show the advantages of the CLPSM compared to the other types of RET. Also the required design changes have been widely studied.
Manufacturing of CLPSM requires quartz etching additionally to the COG mask process. Contrary to CLPSM, the required characteristics of the quartz etching process for altPSM are well specified. However, the required quality of the etching process for the CLPSM has not been sufficiently evaluated yet.
In this paper, the impact of imperfections of the mask manufacturing process, like the effect of quartz sidewall profile, etch depth deviation and quartz trenching during quartz dry etching on mask imaging performance is investigated. Simulations were performed using Solid-CTM to investigate these effects for both mesa and trench type CLPSM for different pitches. A CLPSM mask was manufactured at AMTC to confirm the validity of the simulation through comparing the contrast deviation on various mesa and trench sizes. AIMS measurements have been performed for this purpose.
A chrome-less phase-shift mask for the 70nm technology was designed and manufactured. The mask contains “lines and spaces” including programmed defects. Each defect was characterized with respect to the critical dimension (CD) variation on wafer, defect size, aerial image deviation, as well as inspection capture rate. It was found that defects with an AIMS intensity deviation of above 9 % are to be considered critical. The corresponding critical defect size is dependent on the defect type. All lithographically significant mask defects were found reliably using a KLA 576 inspection tool.
We have investigated the impact of light polarization on the imaging performance of a high NA 193nm wafer scanner. This system allows the usage of well linear polarized light for imaging at several illumination modes. The printing performance of critical DRAM features have been investigated for various mask types like attenuated, chrome-less and alternating PSM using polarized and depolarized light. Moreover various illumination schemes such as circular, cross-pole and dipole illumination have been tested for different light polarization settings. An improvement of the resolution and the process window, and a reduction of the mask error enhancement factor compared to depolarized light have been obtained using appropriately chosen linear polarization. The influence of light polarization on the proximity behavior has been studied. Under investigation was specifically the proximity behavior change for mask features with attached sub-resolution assist features. Experimental data of the influence of the polarization on the intra-field CD uniformity of densely packed features of critical DRAM layers are presented. Based on the obtained measurement data the CD control improvement potential has been analyzed. Our experimental and simulation results verify that light polarization has resolution enhancing potential already for features of the 70nm node and - of course - beyond.
Contact layers of the DRAM manufacturing process can be printed well using alternating phase-shifting masks. State-of-the-art mask making tools have sufficient performance to manufacture defect free contact masks. The enlarged process window compared to conventional masks allows to shrink the contacts size or to substitute advanced scanners by older generation steppers for contact layer patterning. Using older generation exposure systems may cause problems originating in worse lens aberration performance. A method will be described how to overcome overlay problems by applying a specifically designed OL measurement target.
The lithographic potential of various mask types for the printing of 65nm features has been investigated by simulation and experimentation. As key parameters process window, mask error enhancement factor, balancing performance, and phase and CD error susceptibility have been analyzed. Alternating chromeless phase-shifting masks (PSM) show the smallest mask error enhancement factor (MEEF), but the largest phase and CD error sensitivity. Alternating PSM have a larger MEEF but require less tight mask specifications. Double edge chromeless PSM combine small MEEF value with relaxed phase and CD control specifications when an appropriate illumination is chosen. Good intra-field CD control and sufficient large process window for 65nm pattern can be obtained for this mask type. The impact of aberrations and pupil imperfections on the CD control has been investigated. The mask processes will be discussed and mask performance data introduced.
The lithographic potential of alternating PSM for sub-100nm gate patterning have been evaluated in comparison to alternative techniques. The status of the key elements of the full level alternating PSM approach including design conversion, optical proximity correction, mask making, double exposure and phase-shifting mask imaging will be demonstrated for a 256MDRAM device. Experimental data describing the phase-shifting mask quality, the lithographic process windows and the CD control obtained for alternating PSM in full level and array only approach will be presented.
A study to partition a gate level design into an alternating phase shift mask and a chrome on glass trim mask is presented. After determination of important rules for the partitioning by simulation, all investigated gate level pattern could be partitioned, only with slight modifications of the wiring. By application of optical proximity correction (OPC) good gate width and sufficient pattern fidelity control was obtained with the chosen OPC methodology using a calibrated optical model. Nevertheless, several indications of weak spots at two dimensional patterns at extreme defocus are discussed based on experimental data and simulation. To further improve the process window of such pattern, new methods are necessary to detect and prevent such remaining weak spots.
Besides assist features in combination with HTPSM (half-tone phase shifting mask} and off-axis illumination altPSM (alternating phase shifting mask} is the major resolution enhancement technique to extend optical lithography to low k1. AltPSM in addition has the potential of superior CD control. However to achieve this in production altPSM has to fullfil a number of specifications with respect to phase and transmission. Another important aspect to obtain maximum CD control and overlapping process window for all kinds of structures at different pitches is that the phase shifters need to be optimized. Optimizing shifters by means of simulation results provides valuable input for both setting up design rules for altPSM application and for development of OPC strategies and software. Therefore various systems with different widths of lines and shifters were studied with special focus on basic asymmetric cases. We applied Solid-CM TM, a 3D EMF (electro magnetic field) simulator for our studies. Some results obtained from simulation were experimentally verified by wafer printing results (SEM imaging and CD measurement}. In addition, comparison to 2D simulation results clearly allows the determination of cases in which 3D effects have to be taken into account. The effect of varying shifters is monitored by pattern placement and process window analysis. We apply this investigation to develop solution strategies and to optimize shifter dimensions.
Alternating phase shifting masks have proven their capability to enhance the process window and to reduce the mask error enhancement factor effectively. The application of this mask type requires additional mask-properties compared to binary masks or halftone PSM. In this paper two of these mask-properties, the intensity and the phase balancing, are investigated experimentally for 4X and 5X masks at DUV and compared with simulations applying the T-Mask configuration of the SOLID-CM™ program. In a first part the experimentally determined balancing results are discussed. For the measurements two independent methods are compared: Balancing measurements with an AIMS-system (MSM100) and direct optical phase and transmission measurements using a MPM-248 system. The T-Mask as a 3D Maxwell solver allows the simulation of real 3D mask topography. We compare the results of simulations with measured AIMS data. All available mask data like depth of trenches, thickness and composition of chromium/CrxOy layers, etc. are taken as input for the simulations. The comparison enables an assessment of the possibilities and limitations of 3D mask- simulation. Based on 3D mask simulations CD-sensitivity of the different balancing methods was investigated also taking the influence of proximity into account. The simulations allow an assessment of the CD-sensitivity for four analyzed mask types for feature sizes below 150nm on the wafer.
This paper describes mask topography effects of alternating phase shift masks for DUV lithography. First two options to achieve intensity balancing are discussed. Global phase errors of +/- 10 degrees cause a CD change of 3 nm and 8 nm CD placement errors. The CD placement appears to be the parameter affected most by phase errors. A sloped quartz edge with an angle of 3 degrees causes a CD change of 10 nm. The CD sensitivity on local phase errors, i.e. quartz bumps or holes was also studied. The critical defect size of a quartz bump was seen to be 150 nm for 150 nm technology. For the investigation the recently developed topography simulator T-mask was used. The simulator was first checked against analytical tests and experimental results.
We present a new method of sidelobe suppressor placement based on fast lithographic simulation. Experimental results of printing 0.18 micron contact holes using a 5.5 percent transmittance attenuated phase shift mask with different settings of partial coherency are shown. Very asymmetric side lobes appear in some of these results. To explain these experimental results simulations were performed that take koma lens aberrations into account. A good agreement between experiment and simulation can be obtained them, Using these simulations a new algorithm has been implemented to place absorbing assist pattern for sidelobe suppression suitable in size and position. Then the process window of a double contact was determined using aerial image simulation. Process windows with koma lens aberrations and different settings of the partial coherency are then compared.
This paper will start with an overview of the different defect types which can occur on alternating phase shifting masks. A test mask with programmed defects of these different types was fabricated. The defect printability was investigated using an AIMS system. These results were correlated to first printing results in the wafer-fab. The results give an overview of the requirements for an inspection and repair system for alternating phase shifting masks. In order to get a better understanding of this printability behavior first simulations of defects using a 3D mask simulation tool were carried out and compared to the measurements. Several examples of quartz-repairs with different qualities are presented together with the influence on the aerial image.
Dual trench alternating phase shifting masks with an optimized value of the so-called shallow trench depth represents an interesting approach to overcome aerial image imbalances. In order to get a better understanding of the possibilities and limits of this approach, especially for 5X reduction, theoretical and experimental investigations were accomplished. In this paper experimental data obtained from 5X dual trench type alternating PSMs, using DUV-lithography are introduced and compared with 3D-mask simulations. The masks were fabricated with different etch depths and contain parts of typical DRAM patterns. Besides the transmission balancing also the phase balancing has an important influence on the effective process window of an alternating PSM. The effective phase error can be measured with an AIMS-system (MSM100). The comparison with simulated data allows the determination of the phase error. In a second step the influence of different balancing methods on phase and transmission were investigated with the TEMPEST mask simulator for unpolarized light. The optimization of the balancing with respect to the CD-bias, undercut and etch depth will be shown and a first approach of a sensitivity analysis will be presented.
A novel technique of sidelobe suppression based on absorbing assist pattern is introduced. Chrome shields are placed exactly at the position, where sidelobes appear. The effectiveness of this technique for sidelobe control is demonstrated by simulation and experimental results. The resulting process window enlargement for 180 nm contacts is investigated. Corresponding mask making issues are discussed.
This paper quantifies the expected gain in the process window of 150nm structures printed with DUV for alt PSM vs. COG masks and HT PSM. Most of the analysis was performed for dense lines and isolated lines using lithography simulation. Alt PSM show an increase of dose latitude by 9 percent and an improved DOF by 0.2 micrometers for dense liens. For isolated lines the real advantage is seen in the increase of DOF by 0.7 micrometers . Furthermore it will be demonstrated, that alternating PSM can improve the imagin performance of contacts significantly over competitive techniques. Chromeless PSM may push the ultimate resolution limit. However to vary the linewidth three adjacent quartz edges must be used, since two phase edges are instable in defocus. A phase shifting region needs to exceed a minimum width in order to enhance the contrast of the aerial image of the whole feature. Experimental data and simulations show that the required minimum phase-shifter width for an isolated line is in the region of 400nm. Simulation and experiment show, that 90 degrees edges are very sensitive to defocus and neighboring patterns. Using a 3D mask simulator, correction values for etch depth and parameters for a lateral underetch were determined in order to achieve intensity balancing for alt PSM for various feature sizes.
The point when optical proximity correction (OPC) will become a routine procedure for every design is not far away. For such a daily use the requirements for an OPC tool go far beyond the principal functionality of OPC that was proven by a number of approaches and is documented well in literature. In this paper we first discuss the requirements for a productive OPC tool. Against these requirements a benchmarking was performed with three different OPC tools available on market (OPRX from TVT, OPTISSIMO from aiss and PROTEUS from TMA). Each of these tools uses a different approach to perform the correction (rules, simulation or model). To assess the accuracy of the correction, a test chip was fabricated, which contains corrections done by each software tool. The advantages and weakness of the several solutions are discussed.
Intention of this paper is to discuss some issues resulting from increasing requirements on mask making for 0.25 micrometer technology and below. The key question to be discussed is: How can zero defects masks be guaranteed in future and what efforts are needed to reach that goal. Using state of the art equipment two photomasks were manufactured with typical sized and shaped programmed defects. First, criteria is discussed to characterize the printability of mask defects. Key element is the aerial image measurements system MSM100/AIMS. As a result it was found that the criteria strongly depends on the principle shape of the considered pattern. It is shown that the standard criteria used for mask repair, i.e. relative change of the maximum feature image intensity, is only applicable in case of best dose and best focus. The results were used to improve the performance of an attenuated DUV phase-shifting-mask (aPSM). Various repair techniques have been studied. As a result it can be concluded that high quality mask repairs are available which allow the fabrication of defect free aPSM.
KEYWORDS: Photomasks, Head, Semiconducting wafers, Atomic force microscopy, Reticles, Deep ultraviolet, OLE for process control, Data corrections, Critical dimension metrology, Lithography
Increasing number of patterns per area and decreasing linewidth demand enhancement technologies for optical lithography. OPC, the correction of systematic non-linearity in the pattern transfer process by correction of design data is one possibility to tighten process control and to increase the lifetime of existing lithographic equipment. The two most prominent proximity effects to be corrected by OPC are CD variation and line shortening. Line shortening measured on a wafer is up to 2 times larger than full resist simulation results. Therefore, the influence of mask geometry to line shortening is a key item to parameterize lithography. The following paper discusses the effect of adding small serifs to line ends with 0.25 micrometer ground-rule design. For reticles produced on an ALTA 3000 with standard wet etch process, the corner rounding on them mask can be reduced by adding serifs of a certain size. The corner rounding was measured and the effect on line shortening on the wafer is determined. This was investigated by resist measurements on wafer, aerial image plus resist simulation and aerial image measurements on the AIMS microscope.
A methodology and software package STELLA (statistical evaluation of linewidth control for lithographic application) have been developed, which allow the optimization of imaging parameters for advanced optical lithography. The technique is based on calculating the CD variation distribution versus imaging parameters assuming specific process typical distributions of inter-die defocus and relative dose variation. As results a histogram of the CD distribution, the corresponding standard deviation, and the mean CD are obtained. With these numbers optimized processing conditions can be defined. The working scheme of our software package will be demonstrated. The technique will be compared to state-of-the-art imaging parameter optimization techniques. The applicability to real IC designs will be demonstrated. Our simulator allows consideration of the impact of basic resist performance parameters, like Gamma and dark resist thickness loss. Furthermore, it can deal with side lobe phenomena caused by phase-shifting masks, and considers resist feature slope criteria and resist feature loss criteria. Any NA, any scheme of illumination, and any type of mask can be applied. The simulator is well suited to compare various imaging techniques to each other. Simulation results will be compared with experimental data for advanced i-line using lithography circular and annular illumination, as well as binary and phase-shifting masks.
The effectiveness of two methods of optical proximity correction based on feature biasing and subresolution assisting features is compared by simulation and experiments. Parameters examined are overlapping focus- exposure windows for dense lines, semi-isolated and isolated lines, and line-end shortening. Binary and phase-shifting masks containing test and real IC design features are proximity corrected either by commercial software (in the case of feature biasing) or by manual correction using optimized size and placement of assisting features. The results indicate that, while both methods are effective in reducing optical proximity effects, the feature-assisted method is more advantageous in many cases.
The performance of off-axis illumination techniques in comparison to conventional illumination has been investigated for features in the 0.5*((lambda) /NA) range. Conventional masks, chromium masks with assistant features, and halftone phase-shifting masks have been used in combination with these techniques. The analysis includes dense and isolated test features as well as real design features of a random logic IC. Advanced positive tone i-line resists and a 0.48 NA wafer stepper have been applied. Focus and exposure latitudes, linearity, cd proximity effects, and feature deformations caused by the applied techniques are considered. The analysis is done experimentally and theoretically based on cd calculations of developed resist features using Depict-3. The advantages and drawbacks of these techniques are discussed. An ultimate resolution of dense IC features down to 0.30 micrometers for a 0.48 NA i-line wafer stepper is achieved using annular illumination with halftone phase-shifting masks. For 0.5*((lambda) /NA) features practically usable latitudes are demonstrated.
New developments in the field of embedded shifter materials look very promising for defect free phase shifting mask (PSM) manufacturing. Nevertheless, a good control of the shifter parameters (phase shift and absorber transmittance) remains of utmost importance. In this paper, a lithography sensitivity analysis to shifter deviations has been carried out. It was found that dark field masks require different tolerances than light fields. Because of a growing need for an accurate measurement method of the phase shift, an optical technique, based on measurement of the zero diffraction order of diffraction gratings, is investigated. Using this technique, an attenuated PSM manufacturing process has been optimized for deep-UV, in order to demonstrate the possibilities of quarter micron PSM lithography. As a result, 0.3 micrometers contact windows could be printed with sufficient process latitudes. Using modified illumination techniques in combination with the attenuated PSM, considerable gain in process latitudes was found for quarter micron gates as well, although proximity effects are still a concern.
A new technique has been developed for the illumination of a mask in a wafer stepper. A phase-shifting layer (a so-called kinoform) is introduced in the optical path of the projection system between the last lens of the illumination system and the mask absorber. This phase- layer interacts with the incident light in such a way, that the features on the mask get a specific optimized illumination. Therefore the phase distribution has to be generated under consideration of the feature size and distribution on the mask. In that sense a mask feature customized illumination is obtained. In a first application phase, the phase layer is created on the glass side of the mask. Linear as well as chessboard phase gratings are applied. With a chessboard phase grating, a quadrupole-like illumination is generated, which can be optimized to the mask feature distribution by choosing the correct grating frequency, phase-shift and tilt.
The possibilities of in-process blue image sensing by using only the implemented darkfield TTL alignment system of a stepper are investigated. It is shown, that all overlay related parameters of a PAS5000/50 and /70 stepper such as red-blue, magnification, rotation and translation can be measured from an enhanced latent image in a special dyed resist but also with some reduction in accuracy in a pure resist only. Results of overlay measurements on typical technological layers and of similar experiments based on latent image sensing in dyed and in pure resist are given, indicating the capability of in-situ overlay control and correction on process wafers. A new alignment target sensing (ATS) technique of focus measurement using the alignment detection system of the stepper for both daily focus control and correction as well as for focus setting on process wafers with typical technological layers is introduced. The method uses special designed alignment markers containing lines and spaces at the working resolution. Results are given for sensing the developed resist pattern as well as the latent image for an i-line and a DUV stepper. The applicability is demonstrated by measuring the influence of varying resist layer thickness and of varying oxide layer thickness of various film stacks of technological layers on optimum focus. The validity of these results is proven by comparisons to other focus measurement techniques such as chessboard and SEM linewidth measurements. A mathematical model based on the diffraction theory of thin gratings has been developed to support the marker design as well as to calculate the Image Quality Signal (IQS) vs. focus/exposure curves of developed images. The model has been verified by experiments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.