157-nm lithography processes together with optimization of mask feature size and illumination conditions and chromeless mask (CLM) of mesa-type were used to fabricate a 45-nm gate by combining a high numerical aperture (NA) lens with off-axis illumination (OAI) and using Si-containing resist. It was observed that the minimum pitch for forming a 45-nm line was 140-nm. It was also shown that quadrupole illumination was the optimum OAI condition and the optimum mask feature size for forming a 45-nm line of 200-nm pitch was between 50 nm to 55 nm. In these conditions the normalized image log-slope value was about 3.0. It was demonstrated that a 45-nm SRAM gate with a depth of focus of 150 nm could be fabricated by combining these resolution enhancement techniques with high NA lithography and Si-containing resist. Furthermore the 45-nm SRAM-gate pattern was successfully transferred with a bi-layer process. From these results it was proven that fabrication of 45-nm node device could be achieved by using CLM with high NA lithography.
The potential for extending the numerical aperture (NA) in order to develop devices beyond the 45-nm node has been investigated using a 157-nm microstepper exposure tool at 0.90NA (third generation) and verifying the resolution limit of several different resolution enhancement techniques. It was observed that with 157-nm lithography at 0.90NA a 60-nm line and space (L/S) and a 50-nm isolated line could be formed by using an attenuated phase shifting mask (Att-PSM), and that a 50-nm L/S and a 35-nm isolated line could be formed by using an alternating phase shifting mask (Alt-PSM). The influence of the flare for the same pattern sizes was more severe for the L/S pattern rather than isolated line. However, it was the most difficult to image an isolated line with an Att-PSM, which was limited with a tolerance to the flare of less than 1%. Furthermore, the requirement of more than 0.93 for lens NA was confirmed in order to fabricate half pitch 65-nm node device with Att-PSM and half pitch 45-nm node device with Alt-PSM. Results obtained in the pattern formation of 45-nm node with an Alt-PSM confirmed that a 35-nm line could be formed down to 140-nm pitch, a 40-nm line could be formed down to 135-nm pitch, and a 45-nm line could be formed down to 100-nm pitch. It has been demonstrated that 157-nm lithography could find application to half-pitch 65-nm and 45-nm node devices.
The bilayer process we developed for 157-nm lithography uses a fluorine-containing silsesquioxane-type resist (F-SSQ). Gate fabrication is done by using a F-SSQ(90 nm)/organic film(200 nm)/poly-Si(150 nm)/SiO2(10 nm)/Si structure. The organic film works well as an anti-reflecting layer. Using a microstepper with a numerical aperture of 0.90 and optimizing the resist thickness, we made a 50-nm 1:1 line-and-space (L/S) pattern by using an alternative phase-shifting mask and made a 45-nm SRAM by using a chromeless phase lithography mask. Neither resist pattern footing nor undercutting was observed on the organic film. The reactive ion etching (RIE) selectivity between the F-SSQ and the organic film was sufficient (about 7), the resist pattern was transferred to the underlayer, and both 50-nm 1:1 L/S and 45-nm SRAM gate patterns were made using the organic film as an etching mask. Contact hole (C/H) fabrication is done by using a F-SSQ(105 nm)/organic film(400 nm)/tetraethyl orthosilicate (TEOS)-SiO2(1200 nm)/Si structure, and we made a 75-nm 1:1 C/H pattern by using the microstepper with a binary mask. The RIE selectivity was sufficient (about 15) for making high-aspect-ratio contact holes, and we made a 75-nm 1:1 C/H pattern in 1200-nm-thick TEOS. This bilayer process is thus promising for making 65-nm-node semiconductor devices.
Main-chain-fluorinated base-resins, using the copolymer of tetrafluoroethylene and functional (hexafluoroisopropanol (HFA) group) norbornene, were synthesized. Partial protection of its hydroxyl group as ethoxymethyl group was achieved by two methods, by copolymerization (Method A) or by polymer reaction (Method B). The partial protection by copolymerization was conducted by copolymerizing TFE with the mixture of protected and unprotected monomers (Method A, copolymerization). The partial protection was also carried out by reacting hydroxyl group of the polymer, which is composed of TFE and unprotected monomers with ethoxymethyl chloride in the presence of an amine (Method B). In the polymer reaction, only exo position of the norbornene unit was protected. Their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized and studied. A high transparency, i.e., absorbance of less than 0.4 μm-1, was achieved in both methods. However, the polymer prepared by the polymer reaction (Method B) was deprotected more quickly. And this polymer had a higher dissolution rate and development contrast than the polymer prepared by copolymerization (Method A). The Positive-working resists based on this fluororesins were developed and 55 nm dense lines could be delineated by the exposure at 157 nm wavelength with alternating phase shift mask on a 0.9 NA 157 nm exposure tool.
Fluoropolymers are key materials in the single-layer resists used in 157-nm lithography. We have been studying fluoropolymers to determine their potential use as base resins. These polymers are main-chain fluorinated polymers synthesized by co-polymerizing tetrafluoroethylene (TFE) and functional norbornene. We developed a new polymer that is highly transparent and has high dry-etching resistance by attaching a PG-F protecting group, which has high dry-etching resistance, to a TFE/norbornene-based fluorinated polymer. The dry-etching rate for the 15 % blocked polymer was 1.50 times that of a KrF resist and its absorption coefficient at a 157-nm-exposure wavelength was 1.06 /μm. We introduced various photoacid generators (PAGs) to the polymer, and compared lithographic performance. As a result, we found polymer with a triphenylsulfonium-salts-based PAG had a good pattern profile, and polymer with a high-acidity PAG resolved a fine pattern. In particular, polymer with a triphenylsulfonium perfluorooctane sulfonate PAG was able to resolve a 60-nm line and space pattern. We then added various quenchers to the polymer and the PAG, and compared pattern profiles. We found that the use of a high-basicity quencher improved the resolution of the resist and line edge roughness. Consequently, that the polymer with the triphenylsulfonium perfluorooctane sulfonate PAG and tributylamine quencher could resolve a 55-nm line and space pattern. These results provided guidelines for choosing the PAG and quencher for this polymer.
The ammonia durability of the 157-nm lithography resists is still unclear due to the smaller target dimensions, thinner resist films, and variations in base polymer compared to those of 193-nm and 248-nm resists. It has not been determined what ammonia concentrations must be achieved in order to successfully process 157-nm resists. Until now, the ammonia durability of initial 157-nm resists during post exposure delay (PED) and during post coating delay (PCD) was compared to those of 193-nm and 248-nm resists. It was confirmed that all initial 157-nm resists had low ammonia durability. In this paper, the ammonia durability of newly developed 157-nm resists, that have improved transmittance and resolution, was evaluated during PED and PCD. Then, we found that the ammonia durability of these resists were not enough and that the ammonia concentration from exposure to development should be kept under 0.1 ppb. Thermal desorption spectroscopy results showed that resists with lower ammonia durability tended to have more amount of adsorbed ammonia than other resists. Furthermore, the ammonia durability of 157-nm resist couldn’t be improved to the level of that of 193- and 248-nm resist by the adjustment amount of resist additives. Due to the low ammonia durability, it will be necessary to control the ammonia concentration below 0.1 ppb in processing equipment used in 157-nm lithography.
We evaluated the requirements for 65-nm SRAM gate fabrication using attenuated phase shifting masks (att-PSM). Off-axis illumination (OAI) and att-PSM, together with optical proximity correction (OPC) were used as resolution enhancement techniques (RETs) for ultimate resolution. It was shown that the photolithographic parameters of the transmittance of the att-PSM and the illumination conditions for optimum conditions were a transmittance of between 15 and 20% and 3/4 annular illumination. The exposure latitude was simulated to be more than 10.9% at 300-nm defocus for a critical dimension (CD) specification of 10%. It has been demonstrated that a 65-nm SRAM-gate, with a line and space (L/S) ratio limited to 1:2 at the minimum pitch, could be fabricated with sufficient depth of focus (DOF). The pattern transfer was accomplished with a bi-layer process, in which the reactive ion etching (RIE) selectivity between a silicon-containing resist and an organic film is very high. This bi-layer process enabled the application of a very thin resist layer. The conditions described in this paper proved successful for the fabrication of a 65-nm SRAM gate with a good pattern profile despite the resist thickness of less than 120nm.
Fluorinated polymers show a good transparency at the 157-nm exposure wavelength for single-layer resists. We have developed fluorinated resist polymers for 157-nm lithography. These polymers are main-chain fluorinated polymers synthesized by the co-polymerization of tetrafluoroethylene (TFE) and polymers such as poly(TFE/norbornene/α-fluoroolefin) fluoropolymers (FP1). In this paper, a number of polymerization initiators were evaluated in the polymerization of PF1-type polymers in order to investigate the effect of polymer end groups on optical and dissolution properties. We found that the polymer end group greatly affects the dissolution properties of these polymers when using a standard 0.26N tetramethylammonium hydroxide (TMAH) aqueous developer solution. These end groups also affect the polymer transparencies at 157-nm, and the resulting lithographic performance. The fluorocarbon initiator named “F2” induced the lowered absorbance (~0.4μm-1) and an increase in the dissolution rate (~300 nm/sec) without noticeable amounts of swelling. These polymer-based resists can achieve a resolution of less than 60-nm using a 157-nm laser microstepper (NA=0.85) with a Levenson-type strong phase shifting mask.
We have synthesized various main-chain fluorinated polymers and studied their transparency and solubility. The main-chain fluorinated polymers were synthesized by co- or ter-polmerization of tetrafluoeoethylene (TFE) with cyclic monomers, especially TFE with newly synthesized norbornene derivatives. Transparency of the main-chain fluorinated polymers tended to be higher with higher fluorine contents. But exact absorbance of the main-chain fluorinated polymers by modifying the STUPID calculation. Solubility of the main-chain fluorinated polymers functionalized by hydroxyfluoroalkyl groups was also studied. We have developed a model to predict pKa of hydroxyfluoroalkyl groups incorporated in the norbornene derivatives, and studied correlation between pKa(OH) and solubility of the co-polymers of the hydroxyfluoroalkyl-functionalized norbornene derivatives with TFE. pKa
Of the hydroxyfluoroalkyl groups were lower with higher fluorine contents, and solubility of the co-polymers tended to be higher with lower pKa of the hydroxyalkyl groups.
Main-chain-fluorinated base-resins, including tetrafluoroethylene and norbornene derivatives, were synthesized and their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized. A high transparency, i.e., absorbance of less then 0.5 μm-1, was achieved by optimizing the polymerization conditions with a variety of counter monomers. It was found that the polymerization conditions could also control the dissolution rates of the fluoropolymers and increased the dissolution rate of unprotected fluoropolymers by about three orders of magnitude, which was sufficient for the alkaline developability. Positive-working resists based on fluororesins were developed and showed good transparency of less than 1 μm-1 at 157 nm, and good solubility in a standard alkaline solution of 0.26-N tetramethylammonium (without any swelling behavior). And an acceptable etching rate as resistant as ArF resists was obtained and 65-nm dense lines could be delineated by the exposure at 157-nm wavelength.
In 157-nm resists, fluorine atom is added into the polymers in order to improve the transmittance at the exposure wavelength. It is considered that the fluorine atom increases the hydrophobicity of the resists and that the number of defects during development process increases. We evaluated the development defects focusing on the missing contact holes on 157-nm resists. We used different types of 157-nm resists based on such as monocyclic fluoropolymer type, tetrafluoroethylene-norbornene type, and siloxane type. A KrF and ArF resist were also studied for comparison. We measured the number of the missing contact holes for 2500nm 1:2 hole pattern exposed using a KrF stepper. The number of the missing contact holes is higher for the 157-nm resists than that for the KrF or ArF resist. The contact angle of de-ionized water on the resist film of the 157-nm resists was found to be higher than that of the KrF and ArF resists. We examined the number of missing contact holes for various types of resist polymer and the blocking level, and found that the large contact angle, which corresponds to the high hydrophobicity of the resist film, induces the large number of the missing contact holes. The origin of the missing contact holes is the micro bubbles in the developer solution induced by the dissolved nitrogen. For the 157-nm resists, the wettability between resist surface and developer solution is insufficient due to the high hydrophobicity of the resists. Therefore, the micro bubbles are not easily released from the resist surface, and the number of the micro bubbles increases. In order to reduce the number of the missing contact holes, we tried the surfactant-containing developer solution and also tried the nitrogen-degassed developer solution. In both developers, we found that the number of the missing contact holes is reduced by less than half.
This paper describes the investigation on the feasibility of current coater/developer processes to the 157-nm lithography from the viewpoint of critical dimension (CD) control. The effect of coating, bake, and development process on the CD of a 157-nm resist, where fluorine is introduced in the side chain, is studied. A KrF and ArF resist is also used for comparison. Firstly, as for the coating process, the coverage performance and the film thickness uniformity of the 157-nm resist shows that the current coating methods are feasible to 157-nm resist, even though the 157-nm resist is highly hydrophobic. Secondly, as for the bake process, the post exposure bake (PEB) temperature dependence of CD for the 157-nm resist is found to be lower than that for 248 and 193-nm resist. This means that our current PEB temperature control system, which is suitable for 248 or 193-nm resist, is also effective for the 157-nm resist. Thirdly, as for the development process, it is found that a static puddle formation process shows a smaller line edge roughness (LER) than a dynamic puddle formation process. Therefore, the static puddle formation process, with the use of linear drive (LD) developer nozzle for instance, is attractive for the 157-nm resist process. Lastly, from the viewpoint of contamination control, it is found that the amine level should be controlled to be less than 0.1ppb in order to prevent the CD change during post exposure delay (PED) for the 157-nm resist.
A highly transparent (60% transmittance at 120-nm thickness: abs.=1.85/μm), fluorine-containing, silsesquioxane-type resist for 157-nm lithography has been developed. When the resist was exposed with a 0.85-numerical-aperture (0.85-NA) microstepper and a phase-shifting mask, the high transmittance resulted in a steep profile for a 55-nm 1:1 line and space (L/S) pattern, as well as a feasible depth of focus (DOF) of 0.2 μm for a 100-nm contact hole (C/H) pattern. By using a 157-bi-layer resist process, which employed 120 nm of silsesquioxane-type resist as the top layer and a 200-nm-thick organic film as the underlayer, a sub-100-nm C/H pattern could be successfully fabricated and transferred to a 400-nm-thick SiO2 film by reactive ion etching (RIE). Neither pattern deformation during RIE nor residue after resist ashing was observed. The successful fabrication of a sub-100-nm C/H pattern in 400-nm-thick SiO2 clearly demonstrated the advantage of the 157-nm bi-layer resist process for fabricating sub-65-nm-node semiconductor devices, especially C/H fabrication or damascene process.
157 nm lithography is being investigated for the sub-70 nm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F2 laser, the resist materials, the resist processing and the mask materials. A critical component for the success of this 157 nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and higher process margin. In this paper, we describe our recent evaluation results of a high precision 157 nm Microstepper with 0.85 NA lens combined with simulation analysis of the lithographic performance. The details of the evaluation results discussed here include the resolution limit of the high NA lens and the possible effects of intrinsic birefringence upon the lithographic performance.
We describe and evaluate three kinds of pattern transfer processes that are suitable for 157-nm lithography. These transfer processes are (1) a hard mask (HM) process using SiO as a HM material, (2) a HM process using an organic bottom anti-reflecting coating/SiN structure, and (3) a bi-layer process using a silicon-containing resist and an organic film as the bottom layer. In all of these processes, the underlayer of the resist acts as an anti-reflecting layer. For the HM processes, we patterned a newly developed fluorine-containing resist using a 157-nm microstepper, and transferred the resist patterns to the hard mask by reactive ion etching (RIE) with minimal critical dimension shift. Using the HM pattern, we then fabricated a 65 nm WSi/poly-Si gate pattern using a high-numerical aperture (NA) microstepper (NA = 0.85). With the bi-layer process, we transferred a 60 nm 1:1 lines and spaces pattern of a newly developed silicon-containing resist to a 300-nm-thick organic film by RIE. The fabrication of a 65 nm 1:1 gate pattern and 60 nm 1:1 organic film pattern clearly demonstrated that 157-nm lithography is the best candidate for fabricating sub-70 nm node devices.
l57nm lithography is being investigated for the sub-7Onm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F2 laser, the resist materials, the resist processing and the mask materials1. A critical component for the success of this 157nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and higher process margin. In this article, we describe our recent evaluation results of a high precision 157nm Microstepper with 0.85 NA lens combined with simulation analysis of the lithographic performance. The details of the evaluation results discussed here include the resolution limit of the high NA lens and the possible effects of intrinsic birefringence upon the lithographic performance.
We describe and evaluate three kinds of pattern transfer processes that are suitable for 157-nm lithography. These transfer processes are 1) a hard mask (HM) process using SiO as a HM material, 2) a HM process using an organic bottom anti-reflecting coating (BARC)/SiN structure, and 3) a bi- layer process using a silicon-containing resist and an organic film as the bottom layer. In all of these processes, the underlayer fo the resist acts as an anti-reflecting layer. For the HM processes, we patterned a newly developed fluorine-containing resist using a 157-nm microstepper, and transferred the resist patterns to the hard mask by reactive ion etching (RIE) with minimal critical dimension shift. Using the HM pattern, we then fabricated a 65nm Wsi/poly-Si gate pattern using a high-NA microstepper (NA=0.85). With the bi-layer process, we transferred a 60nm 1:1 lines and spaces pattern of a newly developed silicon-containing resist to a 300nm-thick organic film by RIE. The fabrication of a 65nm 1:1 gate pattern and 60nm 1:1 organic film patten clearly demonstrated that 157-nm lithography is the best candidate for fabricating sub-70nm node devices.
Exposure wavelengths have shortened remarkably as device design rules have become increasingly minute. The switch over from KrF to ArF exposure and the development of techniques to use an even shorter wavelength of 157 nm have led to various problems related to the exposure process and changes in the resist materials. In this paper, we focus on 157-nm exposure, which will require thinner resist coatings and new resist materials to deal with the effects of light absorption and solvent transparency. We expect the structure and characteristic of future resist materials to be greatly improved compared to those of the current model. Despite the use of new resist materials, the need to lower the number of defects occurring during the lithography and finer design rules will make environmental control increasingly important as regards critical dimension control. Our knowledge of what environmental control will be needed is incomplete, though, and so we examine some of the environmental factors that will affect lithography with 157-nm exposure in this report.
Reducing defects in the semiconductor photolithography process has become increasingly critical. Many kinds of defects can occur during photolithography, such as missing contact holes or pattern collapses that occur during developing. As the pattern size becomes finer, the exposure wavelength has been shortened from 248-nm to 193-nm, and then to 157-nm. In addition, the resin structure and the chemical characteristics of the resist material have changed greatly. Changing the resist material from I-line to 248-nm created the problem of satellite defects peculiar to chemically amplified resist. Previous studies have suggested that a satellite defect is a complex salt of PAG, quencher, and TMAH, and is soluble in water.1) Because the resist material for 157-nm lithography is highly hydrophobic and is used for making ultra-thin films, defect evaluations of it are necessary. This paper evaluates the defects arising with various kinds of 157-nm lithography resist. Just as with 248-nm resist, a deposition defect peculiar to CAR occurs with 157-nm resist, but it occurs more frequently than with 248-nm resist. Unique defects appear with 157-nm resist, but their appearance and frequency seem to depend on the resist structure. The number of missing contact holes increases when the contact angle to ultra-pure water on the 157-nm resist film raise. It is necessary to elucidate on the mechanism that the unique defect occur in 157-nm resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.