Tighter requirements on mask resolution, CD and image positioning accuracy at and beyond the 45 nm technology node
push the development of improved photomask blanks. One such blank for attenuated phase-shift masks (att-PSM)
provides a thinner chrome film, named TF11, with higher chrome etch rate compared to the previous generation Att-
PSM blank (NTAR5 chrome film) from the same supplier. Reduced stress in the chrome film also results in less image
placement error induced by the material. FEP-171 is the positive chemically amplified resist (PCAR) that is most
commonly used in advanced mask manufacturing with both 50 keV variable shaped e-beam (VSB) and DUV laser
pattern generators. TF11 allows an FEP-171 resist film down to about 2000 Å thickness with sufficient etch resistance,
while the standard resist thickness for NTAR5 is around 3000 Å.
This work has experimentally evaluated the use of TF11 chrome and FEP-171 resist together with a 248 nm DUV laser
pattern generator, the Sigma7500. First, patterning performance in resist with thicknesses from 2000 Å to 2600 Å, in
steps of 100 Å, was tested with respect to swing curve and basic lithographic parameters including resolution, CD
linearity, CD iso-dense bias and dose sensitivity. Patterning results on mask showed a swing minimum at around 2200 Å
and a swing maximum at around 2500 Å, which correspond to reflectivity measurements for 248 nm wavelength
performed by the blank supplier. It was concluded that the overall patterning performance was best close to the swing
maximum. Thereafter the patterning performance using TF11 at two resist thicknesses, 2000 Å and 2550 Å, was studied
in more detail and compared to performance using NTAR5 with 3200 Å resist. The evaluation showed that the
Sigma7500-II offers good compatibility with TF11, especially using the optimized FEP-171 resist thickness of 2550 Å. It
also showed that the patterning capability of the Sigma7500-II using TF11 and 2550 Å resist is improved compared to
using NTAR5 and 3200 Å resist.
Optical proximity correction (OPC) is widely used in wafer lithography to produce a printed image that best matches the
design intent while optimizing CD control. OPC software applies corrections to the mask pattern data, but in general it
does not compensate for the mask writer and mask process characteristics. The Sigma7500-II deep-UV laser mask writer
projects the image of a programmable spatial light modulator (SLM) using partially coherent optics similar to wafer
steppers, and the optical proximity effects of the mask writer are in principle correctable with established OPC methods.
To enhance mask patterning, an embedded OPC function, LinearityEqualizeTM, has been developed for the Sigma7500-
II that is transparent to the user and which does not degrade mask throughput. It employs a CalibreTM rule-based OPC
engine from Mentor Graphics, selected for the computational speed necessary for mask run-time execution. A multinode
cluster computer applies optimized table-based CD corrections to polygonized pattern data that is then fractured
into an internal writer format for subsequent data processing. This embedded proximity correction flattens the linearity
behavior for all linewidths and pitches, which targets to improve the CD uniformity on production photomasks.
Printing results show that the CD linearity is reduced to below 5 nm for linewidths down to 200 nm, both for clear and
dark and for isolated and dense features, and that sub-resolution assist features (SRAF) are reliably printed down to 120
nm. This reduction of proximity effects for main mask features and the extension of the practical resolution for SRAFs
expands the application space of DUV laser mask writing.
Optical proximity correction (OPC) is widely used in wafer lithography to produce a printed image that best matches the
design intent while optimizing CD control. OPC software applies corrections to the mask pattern data, but in general it
does not directly compensate for the mask writer and mask process characteristics. The Sigma7500 deep-ultraviolet
(DUV) mask writer projects the image of a programmable spatial light modulator (SLM) onto the mask using partially
coherent optics similar to wafer steppers, and the residual optical proximity effects of the mask writer are in principle
correctable with established OPC methods.
To enhance mask patterning, an embedded OPC function called LinearityEqualizerTM has been developed for the
Sigma7500 that is transparent to the user and which does not degrade mask throughput. It employs the Mentor Graphics
Calibre OPC engine, selected for the computational speed necessary for mask run-time execution. A multi-node cluster
computer applies optimized table-based CD corrections to polygonized pattern data, which is then refractured into a
standard writer format for subsequent data processing. This short-range proximity correction works in conjunction with
ProcessEqualizerTM, a previously developed print-time function that reduces long-range process-related CD errors. OPC
flattens the linearity behavior for all linewidths and pitches, which should improve the total CD uniformity on
production photomasks. Along with better resolution of assist features, this further extends the application space of DUV
mask writing. Testing shows up to a 4x reduction in the range of systematic CD deviations for a broad array of feature
sizes and pitches, and dark assist features are reliably printed down to 120 nm at mask scale.
Managing the total CD error in advanced mask manufacturing requires that error contributions from writing, process and
metrology are minimized. This paper describes how both the writing and process contributions have been addressed in
the Sigma7500 DUV laser pattern generator, which prints masks by imaging a programmable spatial light modulator
(SLM). System enhancements have reduced the writing contribution to global CD uniformity to 5 nm (3s). Process-related
CD error sources, such as the signatures from mask developing and etching can be significant contributors to the
total CD error in mask manufacturing. These errors are classified as being either pattern-independent or pattern-dependent,
and the effects of both can be reduced using the ProcessEqualizer feature of the Sigma7500. This software
tool performs CD sizing during writing based on pattern density maps derived during mask data preparation, along with
tunable parameters that are determined experimentally. The CD sizing function has no effect on system throughput and
does not require flattening and re-fracturing of the pattern data.
As photomask pattern complexity continues to increase, it becomes more challenging to control write times of shaped e-beam tools. This raises the related concerns of increased mask costs and extended mask cycle times. A strategy for sub-100 nm technology nodes is to use high-speed DUV laser pattern generators for as many layers as possible, reserving e-beam tools for only the most critical layers. With 248 nm optics and high-NA partially coherent imaging, the Sigma7500 increases the application space available to laser pattern generators. Image profiles are steepened with phase shifting methods, and pattern fidelity is improved with on-line corner enhancement. In the Sigma architecture, mask patterns are imaged with full fidelity and addressability in each writing pass. Because of this, the Sigma7500 provides additional means to improve write time by reducing the number of exposure passes. Platform improvements have resulted in a 2-pass writing accuracy that meets the 4-pass specification of the previous system. Write time is typically under two hours in 2-pass mode, compared to approximately three hours for 4-pass. The Sigma7500 can generally be used for all binary mask layers at the 90 nm technology node, and for about half the layers at 45 nm. The ProcessEqualizerTM function addresses long range CD errors arising from mask process effects. Mask data is sized in real time to compensate for process errors related to local pattern density, and also to correct for static process CD signatures. With a through-the-lens alignment system and both grid matching and pattern matching capabilities, the tool is also suited to 2nd layer patterning for advanced phase shifting mask (PSM) applications down to 45 nm, with extendibility to 32 nm. Process integration is facilitated by the use of standard FEP-171 chemically amplified resist (CAR).
Phase shift mask (PSM) applications are becoming essential for addressing the lithography requirements of the 65 nm technology node and beyond. Many mask writer properties must be under control to expose the second level of advanced PSM: second level alignment system accuracy, resolution, pattern fidelity, critical dimension (CD) uniformity and registration. Optical mask writers have the advantage of process simplicity for this application, as they do not require a discharge layer. This paper discusses how the mask writer properties affect the error budget for printing the second level. A deep ultraviolet (DUV) mask writer with a spatial light modulator (SLM) is used in the experimental part of the paper. Partially coherent imaging optics at the 248 nm wavelength provide improved resolution over previous systems, and pattern fidelity is optimized by a real-time corner enhancement function. Lithographic performance is compared to the requirements for second level exposure of advanced PSM. The results indicate sufficient capability and stability for 2nd level alternating PSM patterning at the 65 nm and 45 nm nodes.
Phase shifting mask (PSM) development activity is increasing as 193nm optical lithography is extended beyond the 90nm technology node. The requirements on second level mask patterning of advanced PSM have for many applications exceeded the capability of i-line pattern generators, and it is natural for deep-ultraviolet (DUV) pattern generators to be employed for this task. The Sigma7300 DUV mask writer has the attributes required for advanced PSM applications: high resolution, tight CD uniformity and pattern placement, an accurate alignment system, and grid matching to first level exposures. The paper reports system performance for the parameters that constitute the error budget for second level patterning and shows that the mask writer is suitable for second level patterning of advanced PSMs. The alignment system employs the same laser and optics that are used for system calibration and exposure, including a CCD camera that links system calibration to alignment. As a result overlay performance is stable, and is shown to be independent of alignment mark image contrast changes due to different mask materials or resist thicknesses. The mask process uses a conventional chemically amplified resist, and does not require the use of charge dissipating top layers. Combined with throughput that is essentially independent of pattern complexity, DUV pattern generation provides a high yield solution for second level patterning of advanced PSMs for the 65nm and 45nm nodes.
Photomask complexity is rapidly increasing as feature sizes are scaled down and as optical proximity correction (OPC) methods become widespread. The growing data content of critical mask levels requires that pattern generator solutions be adapted to maintain productivity. Raster shaped beam (RSB) technology has been developed to enable the production of 70 nm photomasks and the development of 50 nm masks. RSB is built on and extends the capability of the 50 kV MEBES platform. The beam is shaped as it is scanned, printing the mask pattern on a calibrated flash grid. Complex OPC patterns are efficiently tiled by combining a relatively small maximum shape size with a high flash rate of 100 MHz. The maximum shape size and the current density can be adjusted to match a wide set of mask applications. Proximity effects are corrected with dose modulation using a real-time computation.
Photomask complexity threatens to outpace mask pattern generator productivity, as semiconductor devices are scaled down and optical proximity correction (OPC) becomes commonplace. Raster scan architectures are well suited to the challenge of maintaining mask throughput and mask quality despite these trends. The MEBES eXara mask pattern generator combines the resolution of a finely focused 50 keV electron beam with the productivity and accuracy of Raster Graybeam writing. Features below 100 nm can be imaged, and OPC designs are produced with consistent fidelity. Write time is independent of resist sensitivity, allowing high-dose processes to be extended, and relaxing sensitivity constraints on chemically amplified resists. Data handling capability is enhanced by a new hierarchical front end and hiearchical data format, building on an underlying writing strategy that is efficient for OPC patterns. A large operating range enables the MEBES eXara system to support the production of 100 nm photomasks, and the development of 70 nm masks.
MEBESR 50 kV mask pattern generators use Raster GraybeamTM writing, providing an effective grid that is 32X finer than the print grid. The electron beam size and print pixel size are variable between 60 nm and 120 nm, allowing a tradeoff between resolution and write time. Raster scan printing optimizes throughput by transferring precisely the amount of data to the mask that is consistent with the chosen resolution. As with other raster output devices, mask write times are not affected by pattern complexity. This paper examines the theoretical performance of Raster Graybeam for model-based optical proximity correction (OPC) patterns and provides examples of mask patterning performance. A simulation tool is used to model the MEBES eXaraTM system writing strategy, which uses four writing passes, interstitial print grids, offset scans, and eight dose levels per pass. It is found that Raster Graybeam produces aerial image quality equivalent to the convolution of the input pattern data with a Gaussian point spread function. Resolution of 90 nm is achieved for equal lines and spaces, supporting subresolution assist features. Angled features are a particular strength of raster scan patterning, with feature quality and write time that are independent of feature orientation.
The complexity of photomasks is rapidly increasing as semiconductor devices are scaled down and optical proximity correction (OPC) becomes commonplace. Raster scan architectures are well suited to the challenge of maintaining mask throughput despite these trends. Electron-beam techniques have the resolution to support OPC requirements into the foreseeable future. The MEBES® eXara mask pattern generator combines the resolution of a finely focused electron probe with the productivity and accuracy of Raster Graybeam patterning. Features below 100nm can be created, and OPC designs are produced with consistent fidelity. Write time is independent of resist sensitivity, allowing high-dose processes to be extended, and relaxing sensitivity constraints on advanced chemically amplified resists. The system is designed for the production of 100nm photomasks, and will support the development of 70nm masks.
Photomask complexity increases rapidly as semiconductor devices continue to shrink and as optical proximity correction becomes commonplace. This trend stresses the performance of mask pattern generators due to the increase in both primary and subresolution features. However, the next-generation MEBES raster scan architecture is well-suited to the challenge of maintaining throughput regardless of increases in pattern complexity. In addition, this new system provides an operating envelope that is sufficiently broad to expose all practical resist materials with a fixed number of writing passes. Write time is independent of material sensitivity, which has the benefits of allowing high-dose processes to be optimized, and also of supporting a wide selection of chemically amplified resist candidates for critical mask patterning. The new system shows the promise of being extendible to the 70 nm technology generation.
In the photolithographic process, critical dimensions (CD) of exposed features in photoresist need to be controlled to within a specified tolerance related to the nominal feature size. A portion of this tolerance budget is consumed by variations in CD on the photomask. At low k1 factor, a number of parameters in the lithography system impact linearity including lens aberrations, defocus, exposure, partial coherence, and photoresist contrast. The combined effect of these parameters is that errors in the mask CDs are not transferred to the wafer in direct proportion to the optical reduction value of the lithography system. This Mask Error Factor (MEF) becomes a significant problem as it consumes a larger than anticipated portion of the CD tolerance budget. This paper will discuss experimentally evaluated MEF using a 4X i-line stepper for a range of feature sizes from subwavelength to approximately twice the exposure wavelength. A test reticle was built with isolated lines from 200 nm to 600 nm in 12.5 nm increments at 1 X. CD measurements on the reticle were compared to corresponding CD measurements on the wafer in order to establish both linearity and MEF curves for the lithography system. MEF values were also determined across a process window for multiple feature sizes. The MEF was observed to be less than 1.4 for CDs greater than 330 nm (k1 equals 0.5) throughout the process window. However, the MEF rises rapidly to over 3 for CD values smaller than 300 nm (k1 equals 0.45) at nominal focus and exposure. Changes in exposure were not observed to have a noticeable impact on MEF while focus offsets were observed to result in significant increases in MEF. These results indicate that MEF has a much larger impact on focus latitude than on exposure latitude. As a result the process window will be compressed more in focus than in exposure.
A new lithographic test pattern, the focus monitor, is introduced. Through the use of phase shift techniques, focus errors translate into easily measurable overlay shifts in the printed pattern. Each individual focus monitor pattern can be directly read for the sign and magnitude of the focus error. This paper presents a detailed verification of the validity of this approach, along with several preliminary applications.
This paper presents a comparative analysis of binary `chrome-on-glass,' attenuated, biased rim, and phase edge shifted DUV lithography solutions for advanced circuitry in the sub-250 nm image size regime. Lithography techniques are compared based on design complexity, ground rule impact, process latitude, and cost. Data are presented from aerial image simulations (SPLAT), aerial image measurements (AIMSR), and SEM measurements. Phase edge shifted designs clearly exhibit the largest process window for 200 nm linewidths exposed on a 0.5 NA 248 nm DUV stepper. The complexity of the mask engineering (design as well as manufacture) and exposure process for this `hard' phase shifting technique warrants the study of less powerful but also less restrictive phase shifting options. This paper investigates the tradeoffs associated with various applicable phase shift mask (PSM) techniques and presents recommendations based on specific program requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.