Some initial EUVL patterning results for polycarbonate based non-chemically amplified resists are presented. Without
full optimization the developer a resolution of 60 nm line spaces could be obtained. With slight overexposure (1.4 × E0)
43.5 nm lines at a half pitch of 50 nm could be printed. At 2x E0 a 28.6 nm lines at a half pitch of 50 nm could be
obtained with a LER that was just above expected for mask roughness. Upon being irradiated with EUV photons, these
polymers undergo chain scission with the loss of carbon dioxide and carbon monoxide. The remaining photoproducts
appear to be non-volatile under standard EUV irradiation conditions, but do exhibit increased solubility in developer
compared to the unirradiated polymer. The sensitivity of the polymers to EUV light is related to their oxygen content
and ways to increase the sensitivity of the polymers to 10 mJ cm-2 is discussed.
The photoacid diffusion length is a critical issue for extreme ultraviolet (EUV) lithography because it governs the critical
dimension (CD), line-edge-roughness (LER), and line-width-roughness (LWR) of photoresist materials. Laboratorybased
experimental methods that complement full lithographic testing would enable a rapid screening of materials and
process conditions. This paper provides an approach to characterize the photoacid diffusion length by applying a bilayer
stack technique. The method involves quantitative measurements of the deprotection kinetics as well as film thickness at
each process step: radiation exposure, post-exposure bake, and development. Analogous to a contrast curve, by
comparing the film thickness of the bilayer before and after development, the photoacid diffusion length was deduced in
a commercial EUV photoresist and compared to EUV lithography. Further, by combining the experiments with kinetics
modeling, the measured photoacid diffusion length was predicted. Lastly, based upon the measured kinetics parameters,
a criterion was developed that next-generation resists must meet to achieve a 16 nm photoacid diffusion length. These
guidelines are discussed in terms of correlations and contributions from the photoacid and resist properties. In particular,
the trapping kinetics of the photoacid provides a route to reduce LER and the CD at low dose.
This paper describes the lithographic properties of fifteen acid amplifiers (AAs) and the chemical modeling
approach used to predict their thermal stability in an ESCAP polymer resist system at 70 and 110 °C. Specifically, we
show how added AAs affect the sensitivity (Eo and Esize), resolution, line edge roughness (LER), exposure latitude, and
Z-parameter of ESCAP resists. We find that acid amplifiers that generate fluorinated sulfonic acids give the best
combination of sensitivity, LER, and exposure latitude. Additionally, we show that these compounds are not
photochemically active. Combining thermodynamic and kinetic modeling has allowed us to predict the relative enthalpies of activation for catalyzed and uncatalyzed decomposition pathways and compare the results to experimental
thermal stability tests.
Chemically amplified resists (CAR) have successfully facilitated the development of deep ultra-violet (DUV) and 193 nm lithography techniques for more than two decades due to their acid-catalyzed deprotection scheme that enhances their photospeed. This acid-catalyzed mechanism provides a method for amplifying the initial chemical reactions caused by interaction of radiation with the resist film, thus making each interaction event between radiation and resist more productive. However, when switching from low energy photolysis to high energy radiolysis, changes in the manner in which the radiation interacts with the resist material can alter the acid generation efficiency and mechanism of PAG excitation. In high energy radiation cases where the radiation energy exceeds the ionization potential of the PAG and the polymer resin, the radiation absorption in the resist film becomes non-selective. The ratio of PAG excited by direct excitation as compared to polymer or matrix sensitization pathways can shift heavily in favor of matrix sensitization in such high energy exposure cases. Such sensitization pathways may become a potential method for enhancing resist sensitivity under high energy radiation through careful selection of matrix and PAG materials. A better understanding and study the efficiency of acid generation through direct and indirect PAG excitation pathways and the effect of PAG and matrix structure on these pathways would be extremely valuable for the design of future high sensitivity resist materials. In this work, the acid generation of typical ionic (onium salt) and non-ionic PAGs under DUV (248 nm) and electron-beam exposure in polymer film have been studied. The effect of PAG type and structure on its acid generation under photolysis and radiolysis has been determined. The effect of polymer resin structure on PAG photoacid generation under photolysis and radiolysis has also been investigated. Concepts for PAG and polymer design for producing enhanced sensitivity resists for excitational and ionizational exposure is discussed.
An ionic and non-ionic single molecule chemically amplified resist have been synthesized, characterized, and imaged under high resolution e-beam. The ionic single molecule resist is based on a tBoc protected triarylsulfonium (TAS) core that generates a free superacid upon exposure. TAS demonstrates a low LER (3&sgr;) of 3.9 nm under 100 keV e-beam exposures with a resolution of 55 nm. Under EUV exposures, it exhibits a LER of 5.2 nm with a sensitivity of 60 mJ/cm2 and 50 nm half-pitch resolution. The non-ionic single molecule resist is based on a sulfonic acid containing molecular glass core that uses a norbornene dicarboximide PAG. It images with a low LER of 3.9 nm and a resolution of 40 nm. The non-ionic resist shows multiple improvements over the ionic system including improved resolution, reduced dark loss, and improved solubility. Both resists successfully demonstrate the feasibility of using a single component molecular resist system with high PAG loading. They also show that single molecule resists provide improved LER compared to conventional PAG blended systems, even for unoptimized systems.
To meet the challenges for resist materials raised by high resolution lithography technologies, tailor-made photoacid
generators (PAGs) with controlled acid diffusion and improved miscibility with polymers are very important. We have
developed new ionic PAGs containing functionalized semifluorinated sulfonates. These PAGs have excellent solubility
in polymer matrices and common organic solvents, high thermal stability, high acid strength and low volatility of the
generated acids, and make them attractive PAGs for high resolution lithography. In this contribution, the preparation and
characterization of several new ionic PAGs, the influence of the host matrix on PAG properties, and a comparison of
their lithographic performance are presented. Specifically their lithographic performance at EUV wavelength is
discussed.
Chemically amplified resists (CARs) are likely to continue to be the main resist materials platform for next generation lithography using shorter wavelength and higher energy radiation sources, such as extreme ultra-violet (EUV) and electron-beam (EB) lithography, to pattern features at and below the 32 nm technology node. As the cost of generating and manipulating high energy radiation in these techniques increases dramatically, photoacid generators (PAGs) with high sensitivities to these exposure sources are required to efficiently utilize such radiation and maintain high lithography tool throughputs. On the other hand, the high energy radiation used in current and next generation lithography tools can increasingly interact non-selectively with the PAG and polymer resin. Photoacid generation from PAG sensitization pathways involving the photoresist resin (e.g. polymer) becomes another potential route for boosting the photospeed of CARs if the PAG and matrix resin chemistry is selected properly. In this work, a fast, convenient, and material saving method which can measure the acid generation rate and yield under photolysis and radiolysis, as well as determine the efficiency of acid generation through direct PAG excitation and indirect PAG sensitization pathways has been developed. This method utilizes on-wafer ellipsometry to determine the absorption of protonated Courmarin 6 (C6) dye, which is incorporated into the polymer resin as a proton indicator. In this work, triphenylsulfonium triflate PAG is used in two different matrix resins, poly(methyl methacrylate) and poly(hydroxystyrene), to illustrate this point that matrix sensitization of the PAG can be important and can be studied using the method developed in this work. This study serves as the starting point for building the structure property relationships needed for intelligent PAG and matrix design to optimize exposure energy utilization in CARs. The validity of this new analytical method is verified by comparing our results in selected PAG-polymer combinations with results obtained from previous studies using different techniques. The potential measurement errors possible when using dye as the proton indicator in a solid-state medium, such as the probability of proton-dye contact and acid generation through dye radiation absorption and sensitization of the PAG, are also analyzed and discussed in this paper.
A water developable, non-chemically amplified, negative tone single molecule resist (tris(4-hydroxy-3,5-dimethylphenyl)sulfonium tosylate, otherwise referred to as TAS-tBoc-Ts) was synthesized, characterized, and imaged using high resolution electron beam lithography. The solubility switching in the negative tone imaging mode in this material, which occurs for no or low temperature post-exposure bakes, occurs through photolytic conversion of some fraction of the ionic PAG molecules into non-ionic photoproducts which act as strong dissolution inhibitors to the remaining unreacted molecules in the film. It was also shown that this resist system can dmonstrate positive tone chemically amplified imaging behavior under different processing conditions, i.e. when using higher temperature post-exposure bakes above 100 °C . Pure water was found to be the preferential developer as compared to conventional aqueous alkaline TMAH developers in this particular system for negative tone imaging. Under 100 keV e-beam imaging and water development, a sensitivity of 66 &mgr;C/cm2 and contrast of 7.9 was obtained. TAS-tBoc-Ts obtained 40 nm 1:2 line-space resolutions with a low LER of 5.3 nm using electron beam imaging with no apparent swelling or residue problems. This new material and its negative tone imaging process provide a possible path forward for designing non-chemically amplified resists that may obtain higher resolutions than current chemically amplified resists at competitive sensitivities.
A new series of methacrylate substituted benzene sulfonic photoacid generators (PAGs) and a perfluoro alkanesulfonic
PAG, bound polymeric resists based on hydroxystyrene (HS) and 2-ethyl-2-adamantyl methacrylate (EA) were prepared
and characterized. The acid yield of these PAG bound polymer resists was among the range of 54-81% under deep
ultraviolet exposure (254 nm) that agrees well with the electron withdrawing effect of the substituents on the PAG anion
for enhancing acid generation efficiency. The intrinsic lithography performance of these polymer-bound PAG resists
showed sub-50 nm half-pitch resolution and < 5 nm LER (3σ).
A mesoscale model of molecular resists has been created and implemented that allows for the investigation of the effect of material composition and physiochemical properties, such as PAG loading and photoacid diffusion coefficient, on the lithographic performance (i.e. resolution, line edge roughness, and sensitivity or as commonly referred to "RLS") of molecular glass photoresists. This model is shown to produce results that are in good agreement with many of the conventional LER scaling arguments. In cases where critical dimension is not held constant, it was found that higher photoacid diffusion improves LER at low acid concentrations, but induces higher LER at high acid concentration as compared to smaller diffusion coefficients. Increased PAG loadings were found to provide comparatively lower LER at the same resolution and sensitivity as lower PAG loadings, or alternatively to provide better sensitivity at the same resolution and LER as lower PAG loadings. Even at ultra-high PAG loadings, CARs were found to exhibit RLS limitations. By normalizing all PAG loadings by the total amount of acid produced, it is shown that LER is controlled primarily by photoacid concentration in the resist at the imaging dose for the case where constant critical dimension is maintained with no use of base quencher in the resist. Thus, the most direct and functional scaling argument for LER under such cases is, which is similar to the more common scaling arguments that state, but as this work shows it is more universal to state that which automatically normalizes for different PAG loadings and photoreaction rate constants across different resist formulations.
We present the initial results of the development of a qualitative structure property relationship (QSPR) model to guide
in the design and synthesis of high-sensitivity, non-CAR materials for EUV lithography. The model was developed
using the fragmentation data of low molecular weight species at 70 eV using a mass spectrometer (MS) with an electron
ionization source as the input parameter. The preliminary model has highlighted a number of structural elements which
will be important in the future design of resists, however, limitations with the current set of input data for molecules
which fragment readily have been identified and these are currently being addressed. Additionally, a correlation
between &ggr; (1 MeV) and EUV (92 eV) radiolysis of selected polymers has been established and it is proposed that the
higher energy (1 MeV) irradiation source is a suitable model process for EUV and can, therefore, be used in the future
screening of polymeric materials.
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used
in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated
wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH
and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare
different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show
a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to
correlate outgassing measurements to witness plate experiments.
A series of new anionic PAGs, as well as PAG bound polymers designed for use in 193 nm photoresist materials have
been synthesized and characterized. These novel materials provide optical transparency at 193 nm and also etch
resistance. The fluorine substituted PAG bound polymer and PAG blend resist provided 110 nm (220 nm pitch)
line/space at 11.5, 13.0 mJ/cm2, and 80 nm isolated features at 3, 1 mJ/cm2, respectively. The LER (3&sgr;) results showed
the fluorinated PAG bound polymer have LER values 6.7 nm and 6.8 nm for isolated 80 nm and dense 110 nm lines
respectively, which were lower than the PAG Blend polymers
A new series of anionic photoacid generators (PAGs), and corresponding polymers were prepared. The thermostability
of PAG bound polymers was superior to PAG blend polymers. PAG incorporated into the polymer main chain showed
improved resolution when compared with the PAG blend polymers. This was demonstrated by Extreme Ultraviolet
lithography (EUVL) results: the fluorine PAG bound polymer resist gave 45 nm (1:1), 35 nm (1:2), 30 nm (1:3) and 20
nm (1:4) Line/Space as well as the 50 nm (1:1),30 nm (1:2) elbow patterns.
Substantially improved photoresist material designs, which can provide higher photosensitivity and precise critical
dimension and edge roughness control, will be required to enable the application of next generation lithography
technology to the production of future sub-65 nm node IC device generations. The development and characterization of
novel material platforms that solve the aforementioned basic problems with chemically amplified resists (CARs) is
essential and is already one of the major subjects of modern lithography research. In that regard, we have pursued
development of a variety of 193 nm and EUV CARs that contain photoacid generator (PAG) units covalently bonded
directly to the resin polymer backbone. However, the detailed structure-property relationships that result from this
direct attachment of the PAG functional group to the polymer have previously not yet been rigorously characterized. In
this work, the lithographic properties of a polymer-bound PAG CAR (GBLMA-co-EAMA-co-F4-MBS.TPS) and its
blended-PAG analog resist (GBLMA-co-EAMA blend F4-IBBS.TPS) were studied and compared. The direct
incorporation of PAG functionality into the resist polymer, where the resulting photoacid remains bound to the polymer,
showed improved photosensitivity, resolution, and lower LER as compared with the analogous blended-PAG resist.
The improved resolution and LER were expected due to the restricted photoacid diffusion and uniform PAG distribution
provided by direct incorporation of the PAG into the polymer backbone to make a single-component resist material.
The ability to load higher levels of PAG into the resist provided by this PAG incorporation into the polymer, as compared
to the low PAG concentrations attainable by traditional blending approaches, overcomes the sensitivity loss that should
result from reduction in photoacid diffusivity and concomitant smaller acid-catalytic chain lengths. In fact, the
polymer-bound PAG resist achieves a faster photospeed than the blended-PAG analog material under DUV radiation in
the case of the materials reported here while still providing all of the aforementioned improvements such as the improved
line edge roughness.
A single molecule photoresist composed of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl) sulfonium
hexafluoroantimonate (TAS-tBoc) was successfully synthesized and characterized. The synthesized triarylsulfonium was
found to perform comparably to a commercial triphenylsulfonium triflate photoacid generator (PAG) when used purely
as a PAG in blended molecular glass resist. TAS-tBoc formed excellent amorphous films when spin-coated out of
solution. When exposed to 248 nm UV radiation, TAS-tBoc showed a sensitivity of 4 mJ/cm2 and a contrast ratio
between 6 and 15, depending on development conditions. Its etch rate under standard silicon dioxide etch conditions was
0.87 as standardized to that of tBoc-PHOST in the same RIE plasma conditions. The outgassing level of the resist under
EUV exposure was determined to be 1.08 x 1013 molecules/cm2, well below the maximum outgassing cutoff that is
considered acceptable for EUV imaging. When imaged by e-beam, TAS-tBoc showed a relatively high dose-to-clear of
150 &mgr;C/cm2 as compared to conventional chemically amplified photoresists. Lines down to 50 nm wide with aspect
ratios of 2.5:1 were imaged using e-beam. These lines exhibited an LER of only 3.96 nm, significantly better than the
typical LER for polymeric chemically amplified resist, even when imaged using e-beam, and also one of the lowest
values reported for molecular glass materials in general.
Conventional chemically amplified photoresist formulations are complex mixtures that include a protected polymer resin and a small molecule photoacid generator (PAG). The limited compatibility of the PAG with resist resin and the mobility of the small molecule additive can lead to problems including PAG phase separation, non-uniform initial PAG and photoacid distribution, and acid migration during the post-exposure baking (PEB) processes. The incorporation of PAG units into the main chain of the polymer resin is one possible method to alleviate these problems. Recently, we have investigated methacrylate based resists which incorporate novel PAG functional groups into the polymer main chain. These materials have demonstrated good resolution performance for both 193 nm and EUV exposure for sub-100 nm patterning. However, limited information is available on the effect of binding the PAG to the polymer on PAG photoreactivity and photoacid diffusivity. In this work, the photoacid generation rate constant (commonly referred to as the Dill C parameter for the PAG) of both triflate polymer-bound PAG and blended PAG photoresists based on poly(γ-butyrolactone methacrylate -co-2-ethyl-2-adamantyl methacrylate ) resists were determined by a new technique utilizing both quantitative FTIR spectroscopy and kinetic model fitting. The results indicate that the polymer-bound PAG resist has a lower photoacid generation rate constant (C=0.0122) than the blend PAG one (C=0.2647). This large difference in Dill C parameters would indicate that the sensitivity of the polymer-bound PAG resist is substantially lower than that of the analogous blended sample which is consistent with contrast curve data for these two samples.
Nonionic photoacid generators (PAGs) based on photosensitive fluoroorganic sulfonate esters of imide and nitrobenzyl have been prepared and characterized. These new compounds produce fluoroorganic sulfonic acids that contain very few fluorine atoms (non-PFOS), which make them attractive PAGs for all advanced and emerging lithography. The structural influence of these new PAGs on sensitivity, resolution and line edge roughness (LER) was investigated by using DUV (254 nm) and e-beam lithography with ESCAP and ACRYLIC type positive tone resists. E-beam lithography evaluation indicates that these new fluroorganic sulfonic acids are sensitive and capable of providing image profiles down to 80 nm. The variation observed in sensitivity and LER at e-beam lithography was analyzed in terms of the structures of the photogenerated acids, chromophores and resists.
Outgassing from EUV photoresists under EUV irradiation must be minimal in order to prevent contamination of EUV optics. A better understanding of both the level of outgassing of current EUV resists, and the effect of outgassing on EUV optics is needed to set outgassing targets and to assess the risk of resist outgassing in EUV tools. In this paper, we document a technique for quantifying the EUV resist outgassing using both internal and external standards to baseline the GC/MS. In a comparison of internal and external standard, the two techniques give consistent baseline results. Quantification of the outgassing of a number of experimental EUV photoresists shows outgassing levels of 1E+10 - 1E+15 molecules/cm2 at the relevant lithographic dose. Protecting groups and PAG fragments are identified as the main outgassing components. The use of high activation energy resists, and non-ionic PAGs are identified as possible methods to reduce the outgassing levels of EUV photoresists.
The patterning targets for EUV resists at the 32 nm node are stringent, and will require significant resist development in order to meet these targets. In this paper, we benchmark the patterning performance of current EUV resists against Intel targets. Resolution data for dense L/S structures, isolated lines, and contact hole structures show that current resists are close to meeting Intel requirements for the 32 nm node, though further optimization is needed. A trade-off is seen between LWR and sensitivity (6.0 nm LWR and 6.8 mJ/cm2 for resist A versus 11.1 nm LWR and 2.7 mJ/cm2 for resist C). However even at the higher dose, the LWR target is far from Intel’s spe. At best dose and focus sidewall angles of 85° were measured, decreasing by as much as 10° - 12° as the resist moved out of focus by 400 nm.
Absorbance is a key characteristic of photoresists that can limit resolution, wall angle, and practical resist film thicknesses. Currently, however, there is limited data for absorbance of resists at EUV (13.5 nm) wavelengths. The most common way of estimating the absorbance of materials at EUV wavelengths is to use an experimentally measured value of density along with stoichiometric chemical information of the material in a theoretical calculation such as that available through the Center for X-Ray Optics at Lawrence Berkeley National Laboratory website.1 However, there is limited validation of these estimates for photoresists. The paper will compare calculations using density measurements of EUV-2D by a variety of methods: traditional weight measurements and Specular X-ray reflectivity (SXR) to determine density; and against grazing incidence and normal incidence reflectivity measurements to determine absorbance directly. It will be shown that inaccurate density measurements can result in 10% or greater absorbance estimates from the calculations. Based on the results, recommendations will be made for measurement techniques and accurate density based calculations of photoresist absorbance.
EUV photoresists must be developed that meet the stringent patterning requirements for the next-generation of microprocessors (32nm node and beyond). In this paper we will address the ability of EUV photoresists to meet the material targets specs (MTS), such as CD resolution, line width roughness (LWR), photo sensitivity, and absorbance. The challenges of meeting CD resolution and line width roughness specs are not restricted to EUV lithography, but also need to be met by other technologies (193nm, 157nm, and 193 immersion technologies). However, EUV photoresists encounter the unique challenge of meeting these MTS with higher photospeeds than any other lithographic technology due to EUV source requirements. The design of EUV resists that meet all of the MTS and have sufficiently high photospeeds is very challenging. In this paper, we will present experimental results of EUV photoresists patterning results from the 10X tool at Sandia National Lab, and the F2X at Lawrence Berkeley National Lab. Data on resolution, LWR, photo sensitivity, and absorbance are included. Finally we address the capabilities of current EUV resists to meet the patterning requirements, and highlight areas where acceleration is required to meet the Intel roadmap.
Outgassing of photoresists needs to be minimized to avoid contamination of optics. A new challenge for EUV photoresists - that was not encountered for previous lithography technologies - is that exposures will occur in a vacuum environment. In order to design resists that meet the outgassing requirements for EUV lithography, current EUV photoresists need to be tested to determine if there are any performance gaps. In this paper we will describe the outgassing set-up for the outgassing chamber at the University of Wisconsin, and document Intel’s best known method for collecting and analyzing EUV outgassing data. In addition we will present preliminary outgassing results to benchmark the performance of Intel’s outgassing procedure.
Resists for the next generation of lithography must be able to meet stringent line width roughness (LWR) targets. The LWR requirements, governed by device performance, are the same regardless of the lithographic technology that is chosen. Unfortunately no resist platform for any technology (EUV, 157 nm, 193 nm) is on track to meet the targets for the 45 nm and the 32 nm technology nodes. In order to understand the fundamental sources of LWR, we designed an experiment to statistically vary resist parameters for EUV resists. The results of this study show methods to improve LWR and shed light on the sources of LWR.
The structural influence of photoacid generators on DUV resists performance is investigated in both high and low activation energy resists system. The lithographic behaviors of the photoacid generator is considered in terms of the structure of the photogenerated acid and the light sensitive chromophore. First, the lithographic impact of the photogenerated acid is investigated in terms of acid strength and acid size in resists optimized for high and low temperature processing, respectively. Dissolution kinetics, contrast curve data and absorbance data are presented for a series of high and low activation energy resists in which the structure of the photogenerated acid is systematically varied. The results of these studies are discussed in terms of the photogenerated acid, emphasizing the impact of acid strength and size on lithographic performance and resists dissolution rate kinetics for each resists platform. Secondly, the structural influence of the light sensitive PAG chromophore is investigated by comparing the lithographic performance and dissolution rate kinetics are probed in terms of resist type and PAG structure.
Remarkable progress has been made in the formulation of chemically amplified resists for deep-UV (DUV or 248 nm) lithography. These materials are now in general use in full scale manufacturing. One of the deterrents to rapid and universal adoption of DUV lithography has been the combination of high cost of ownership and a narrow process latitude when compared to conventional i-line process alternatives. A significant part of the high cost of the DUV process is associated with installing and maintaining special air handling equipment that is required to remove basic contaminants from the ambient. Manufacture process latitude demands this special air handling. The chemically amplified resists were developed originally to support mercury lamp powered exposure systems. The sensitivity realized by chemical amplification is required to enable useful productivity with such systems that generate very little DUV flux at the wafer plane. With the advent of high powered excimer laser based illumination systems for 248 nm steppers and step-and-scan systems, it is appropriate to re-examine the applicability of non-chemically amplified DUV resist systems. These systems are less sensitive but have the potential to offer both lower cost of ownership and improved process latitude. A series of photoactive compounds (PACs) have been synthesized and auditioned for use in the formulation of a non-chemically amplified 248 nm resist. The most promising of these materials are analogs of 3-oxo-3-diazocoumarin. This chromophore displays photochemistry that is analogous to that of the diazonaphthoquinones (DNQ) that are the basis of i-line resist formulations, but it bleaches at 248 nm. Several structural analogs of the chromophore have been synthesized and a variety of ballast groups have been studied with the goal of enhancing the dissolution inhibition properties of the molecule. The diazocoumarin PACs have been formulated with customized phenolic resins that were designed to provide the combination of optical transparency, dry etch resistance and the dissolution characteristics that are required for manufacturing applications. The resins are copolymers of poly(4-hydroxystyrene) and blends of these polymers with novolac.
Several approaches toward the design of non-chemically amplified deep UV resists have been reported using different photoactive compounds and resins. Diazo-dione chromophores are particularly attractive for this application, but the keto- ketenes derived from their photolysis are more reactive than those derived from the analogous diazonaphthoquinones. Specifically, the 30-diazo-4-ketocoumarin chromophore has been identified as a promising candidate around which to design a non-chemically amplified 248 nm resist. In order to optimize the design of this system, the influence of both electronic and steric influences on the rate of reaction of the ketene with novolac resin has been studied. These experiments were carried out using a low temperature matrix isolation FT-IR technique similar to that previously reported. The reaction of the ketene with the resin hydroxyl group follows pseudo first order kinetics and the rate constant is dependent on the substituents and the substitution pattern on the coumarin.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.