Self-aligned quadruple patterning (SAQP) has quickly become the most viable multi-patterning scheme adopted for manufacturing critical layers in logic and memory devices below the 10nm (N10) technology node. Occurrence of pitch walk is a very common phenomenon in post SAQP layers. If not properly managed, the absolute value and variability of pitch walk could result in either parametric yield degradation or catastrophic fin-loss defects. These issues result from the interaction with subsequent processes, such as keeps and cuts, which are used to define and isolate fin groups for building the transistors. Previous studies have shown that a combined lithography and etch methodology would help reduce the pitch walk impact [1]. In this article, we will show advanced control for SAQP pitch walk using a combined scheme of lithography, deposition and etch actuators, in a front end 5nm logic process. By the implementation of a rigorous pitch walk prediction model that is calibrated and validated with wafer data, we then expand the model coverage to include an edge placement error component. This accounts for the contribution of overlay from subsequent layers to predict patterning defect probability at the final stage of device structure formation. With this approach, we can identify the optimal process control loop that minimizes pitch walk effects while maximizes the process margin for subsequent layers for this integration scheme.
The relationship between edge placement error (EPE), semiconductor design-rule determination, and predicted yield in the era of EUV lithography is examined, starting with the basics of EPE and then building up to design-rule calculations. The EPE definitions can be used as the building blocks for design-rule equations. Next the concept of “good fields” is explored and used to predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma value design-rules need to be tested to ensure high yield. The “value” can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across-chip variation of design-rule important values needs to be tested at sigma values between seven and eight, which is much higher than the 4-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations, we examine the impact of EUV lithography on sources of variation important for design-rule calculations.
As more aggressive EUV imaging techniques and resists with lower intrinsic roughness are developed for patterning at 7- and 5-nm technology nodes, EUV mask roughness will contribute an increasing portion of the total printed line-width roughness (LWR). We perform a comprehensive characterization of the EUV mask impacts on wafer LWR using actinic aerial images and wafer SEM images. Analytical methods are developed to properly separate and compare the LWR effects from EUV masks, photon shot noise, and resist stochastics. The use of EUV AIMS™ to emulate and measure incident photon shot noise effects is explored and demonstrated. A sub 10-nm EUV mask is qualified using EUV AIMS™ with scanner equivalent dose settings that are required for patterning 16- and 18-nm half-pitch L/S features. Typical chemically amplified EUV resists with low- and high-dose sensitivities are patterned and characterized with SEM metrology. The variance and spectral components contributing to wafer LWR are quantified and compared. Our analysis shows that speckle-induced aerial LWR is not a significant factor at the experimental imaging conditions when ML roughness is 50-pm rms. At the current scanner dose levels, mask absorber pattern roughness is a major factor in aerial LWR, but not as significant a contributor to wafer LWR where resist stochastics still dominate.
The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term “EPE” has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of “Good Fields”1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma “value” design-rules need to be tested to ensure high yield. The “value” can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement error distributions and appropriate design-rule setting. While CD distributions with long tails coming from stochastic effects do bring increased risk of failure (especially on chips that may have over a billion failure opportunities per layer) there are other sources of variation that have sharp cutoffs, i.e. have no tails. We will review these sources and show how distributions with different skew and kurtosis values combine.
As more aggressive EUV imaging techniques and resists with lower intrinsic roughness are developed for patterning at 7nm and 5nm technology nodes, EUV mask roughness will contribute an increasing portion of the total printed linewidth roughness (LWR). In this study, we perform a comprehensive characterization of the EUV mask impacts on wafer LWR using actinic aerial images and wafer SEM images. Analytical methods are developed to properly separate and compare the LWR effects from EUV masks, photon shot noise, and resist stochastics. The use of EUV AIMSTM to emulate and measure incident photon shot noise effects is explored and demonstrated. A sub-10nm EUV mask is qualified using EUV AIMSTM with scanner equivalent dose settings that are required for patterning 16nm and 18nm half-pitch L/S features with low- and high-dose CAR resists. The variance and spectral components contributing to wafer LWR are quantified and compared.
Although lens aberrations in EUV imaging systems are very small, aberration impacts on pattern placement error and overlay error need to be carefully investigated to obtain the most robust lithography process for high volume manufacturing. Instead of focusing entirely on pattern placement errors in the context of a single lithographic process, we holistically study the interaction between two sequential lithographic layers affected by evolving aberration wavefronts, calculate aberration induced overlay error, and explore new strategies to improve overlay.
High volume manufacturing with extreme ultraviolet (EUV) lithography requires mask induced overlay errors of less than 1.5nm for the N7 node. The use of electrostatic chucking and reflective optics causes the reticle backside flatness and reticle thickness to directly affect the placement of the pattern at wafer through both in-plane (IPD) and out of plane distortions (OPD). The minimization of reticle flatness alleviates some of the image placement distortion caused by the reticle’s shape, however to be within the image placement error budget, N7 EUV blanks must have flatness <16nm p-v. With the manufacturing challenges associated with generating such flat blanks, compensation may be an option for imaging improvements; such methodologies will likely be essential for EUV to meet the stringent image placement and overlay specifications needed for high volume manufacturing (HVM).
Numerous compensation approaches can be utilized to minimize flatness related image placement errors including write compensation of the reticle, feed forward of reticle flatness data to the scanner corrections, and high-order empirical scanner corrections. This study investigates the benefits and limitations of each of these approaches, and seeks to better define which types of errors can be compensated and which will need further reticle flatness development in order to meet N7 and N5 specifications. Additionally, attention is given to the reticle’s shape as it relates to the limitations to the depth of focus required within the scanner systems. Utilizing an array of substrates and blanks from different vendors, we provide an assessment on which type of compensation method is most effective for addressing the various topographies for each specific reticle, and further explore for which node such schemes may be necessary.
This investigation seeks to provide a guide for the industry to work towards the implementation of functional tolerances related to both the compensation scheme used in manufacturing, and the reticle’s resulting non-correctable flatness (residual).
Stochastic-induced roughness of lithographic features continues to be of great concern due to its impact on semiconductor devices. In particular, rare events (large deviations in edge positions due to roughness) can cause catastrophic failure of a chip, but are hard to predict. Here, a new methodology, the level crossing method, is used to characterize the statistical behavior of edge roughness with the goal of predicting extreme events. Using experimental results from EUV lithography, the distribution of edge deviations was found to have tails significantly heavier than a normal distribution. While further work is required, these heavy tails could prove problematic when EUV is used in high volume manufacturing.
Wafer overlay errors due to EUV mask non-flatness and thickness variations need to be minimized for the successful deployment of EUV lithography at N7 HVM. In this paper, we provide an updated assessment of the overlay impacts from EUV mask blanks as relevant to N7. We then evaluate the effectiveness of high-order scanner correction and mask compensation in minimizing the mask blank induced overlay to meet the allocated N7 overlay budget. Various scenarios for combining the compensation methods are evaluated, and a practical EUV mask flatness and thickness variation specification for N7 production is proposed.
Our paper will use stochastic simulations to explore how EUV pattern roughness can cause device failure through rare events, so-called "black swans". We examine the impact of stochastic noise on the yield of simple wiring patterns with 36nm pitch, corresponding to 7nm node logic, using a local Critical Dimension (CD)-based fail criteria Contact hole failures are examined in a similar way. For our nominal EUV process, local CD uniformity variation and local Pattern Placement Error variation was observed, but no pattern failures were seen in the modest (few thousand) number of features simulated. We degraded the image quality by incorporating Moving Standard Deviation (MSD) blurring to degrade the Image Log-Slope (ILS), and were able to find conditions where pattern failures were observed. We determined the Line Width Roughness (LWR) value as a function of the ILS. By use of an artificial "step function" image degraded by various MSD blur, we were able to extend the LWR vs ILS curve into regimes that might be available for future EUV imagery. As we decreased the image quality, we observed LWR grow and also began to see pattern failures. For high image quality, we saw CD distributions that were symmetrical and close to Gaussian in shape. Lower image quality caused CD distributions that were asymmetric, with "fat tails" on the low CD side (under-exposed) which were associated with pattern failures. Similar non-Gaussian CD distributions were associated with image conditions that caused missing contact holes, i.e. CD=0.
An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.
The frequent occurrence of crystal growth defects on the patterned surface and back glass of critical layer reticles in 193nm lithography has been seen at most advanced fabs around the world. While frequent contamination inspections using regimented sample plans help monitor the growth of crystals and protect yield, no clear solutions have been found to eliminate this progressive defect growth. The recently proposed “Advanced Reticle Defect Disposition Process” (ARDD) was applied successfully for the first time. This process employs a high-throughput inspection system based on the STARlight architecture and - after defect reduction through algorithms - a high-resolution AIMS review system, utilizing the newest networked data connectivity to directly exchange inspection report data and review results. The printability of crystal growth defects is highly variable depending on which surface the defects occur, the size of the defects, and the proximity of the defect to a printing pattern. Crystal growth defects can have different transmittance and phase depending on the lithography wavelength and we found in our investigations a significant change in transmission loss depending on lithography settings like NA and sigma. Such effects may result in severe reduction of the process window, and affect yield. Progressive reticle defects have been characterized on a production reticle applying the ARDD process. It is shown that emulating any given stepper/ scanner settings is necessary to measure the effect of these types of defects on transmittance and that through-focus AIMS evaluation is required to accurately assess the printability of crystal growth defects in terms of process window on wafer. Both features are important components of an overall effective and economical reticle monitor strategy, e.g. in order to optimize the reticle cleaning cycles and thus the reticle lifetime.
As fabs transition from 200 to 300mm wafers with shrinking design rules, the risk and cost associated with overlay excursions become more severe. This significantly impacts the overall litho-cell efficiency. Effective detection, identification, and reduction of overlay excursions are essential for realizing the productivity and cost benefits of the technology shifts. We have developed a comprehensive overlay excursion management method that encompasses baseline variation analysis, statistical separation and characterization of excursion signatures and their frequencies, as well as selection of sampling plans and control methods that minimize material at risk due to excursion. A novel baseline variance estimation method is developed that takes into account the spatial signature and temporal behavior of the litho-cell overlay correction mechanisms. Spatial and temporal excursion signatures are identified and incorporated in a cost model that estimates the material at risk in an excursion cycle. The material at risk associated with various sampling plans, control charts, and cycle times is assessed considering various lot disposition and routing decisions. These results are then used in determining an optimal sampling and control strategy for effective excursion management. In this paper, we describe and demonstrate the effectiveness of the methods using actual 300mm fab overlay data from several critical layers. With a thorough assessment of the actual baseline and excursion distributions, we quantify the amount of wafer-to-wafer and within-wafer sampling necessary for detecting excursions with minimal material at risk. We also evaluate the impact of shorter cycle time and faster response to excursion, which is made possible through automation and alternative metrology configurations.
The accelerating trend to smaller linewidths and low-k1 lithography makes metrology and process control more challenging with each succeeding technology generation. Optical CD metrology based on spectroscopic ellipsometry provides higher precision, improved matching, and richer information for line width and shape (profile) control which complement conventional litho metrology techniques. Analysis of site-to-site, within-field, field-to-field, and cross-wafer CD and line-shape distributions using KLA-Tencor SpectraCD permits separation of sources of variation between the stepper and track thus enabling proper process control. Focus-exposure analysis using SpectraCD data provides a more complete understanding of the lithography process window. Comparison between SpectraCD CD measurements on nominal 1:5 Line/Space ratio grating targets to isolated line CD-SEM measurements show excellent correlation over a large focus-exposure process range, including sub-100nm features. This result provides verification that SCD measurements on grating targets can be used to monitor and provide feedback to lithography process for isolated lines.
Fundamentally, advanced process control enables accelerated design-rule reduction, but simple microeconomic models that directly link the effects of advanced process control to profitability are rare or non-existent. In this work, we derive these links using a simplified model for the rate of profit generated by the semiconductor manufacturing process. We use it to explain why and how microprocessor manufacturers strive to avoid commoditization by producing only the number of dies required to satisfy the time-varying demand in each performance segment. This strategy is realized using the tactic known as speed binning, the deliberate creation of an unnatural distribution of microprocessor performance that varies according to market demand. We show that the ability of APC to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process window variation.
This paper evaluates sampling plans for overlay metrology in the context of Advanced Process Control (APC). The relationship between APC opportunity (the maximum benefit achievable via APC) and correctable accuracy is investigated. The tradeoff between spatial and temporal sampling density is considered as well. This tradeoff expresses the relationship between temporal sampling needed to realize APC benefit and spatial sampling needed to achieve a level of total overlay error. We find that the spatial sampling plan impacts both the proportion of process disturbance in the measured variability and the frequency distribution of the disturbance. As a result of a smaller magnitude and lower frequency disturbance in the10-field plan, APC performance with this plan is substantially better than with the 4-field plan. Over a realistic range of temporal sampling, APC of correctables derived from the 10-field sample plan result in a 20 to 25 percent improvement over the baseline of no control on 4-field based correctables. When APC is applied to 4-field correctables, only about 8 to 10 percent improvement is achieved.
In this paper, we present an automated method for selecting optimal overlay sampling plans based on a systematic evaluation of the spatial variation components of overlay errors, overlay prediction errors, sampling confidence, and yield loss due to inadequate sampling. Generalized nested ANOVA and clustering analysis are used to quantify the major components of overlay variations in terms of stepper-related systematic variances, systematic variances of residuals, and random variances at the wafer, field and site levels. Analysis programs have been developed to automatically evaluate various sampling plans with different number of fields and layouts, and identify the optimum plan for effective excursion detection and stepper/scanner control. For each sample plan, the overlay prediction error relative to full wafer sample is calculated, and its sampling confidence is estimated using robust tests. The relative yield loss risk due to inadequate sampling is quantified, and compared with the cost of sampling in determining a cost-optimal sampling plan. The methodology is applied to overlay data of CMP processed wafers. The different spatial variation characteristics of oxide and metal CMP processes are compared and proper sampling strategies are recommended. The robustness of the recommended sample plans was validated over time. The sample plan optimization program successfully detected process change while maintaining accurate and robust stepper/scanner control.
This paper presents a methodology for measuring and improving the effectiveness of stepper overlay management on product wafers in the semiconductor industry. The research that supports this measurement approach encompasses over 12 fabs with over 30 technologies. Overlay performance, stepper deployment, stepper productivity and die yield loss due to overlay error were studied. To provide an objective measurement of a fab overlay methodology and performance, measurements were made of the overall overlay design rule compliance and distribution and of the overlay variance and distribution by stepper field location. Modeled data analysis was used to assess and validate the effectiveness of the stepper control methodology, sampling level and field/target locations. Balancing stepper productivity and overlay results is a problem in most fabs. An overlay 'opportunity box' is defined that allows a fab to explore overlay error ranges, lost stepper productivity, and product overlay design rule requirement by stepper deployment. Stepper deployment decision tend to be digital - 'engineering' or 'manufacturing' - quantification of die yield loss as a function of overlay error is usually required to make deployment changes. Several examples of die yield loss, as a function of overlay error and distribution, are presented. A brief introduction of the yield analysis technique used is provided.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.