A high-volume manufacturing (HVM) actinic blank inspection (ABI) prototype has been developed, of which the inspection capability for a native defect was evaluated. An analysis of defect signal intensity (DSI) analysis showed that the DSI varied as a result of mask surface roughness. Operating the ABI under a review mode reduced that variation by 71 %, and therefore this operation was made available for precise DSI evaluation. The result also indicated that the defect capture rate was influenced by the DSI variation caused by mask surface roughness. A mask blank was inspected three times by the HVM ABI prototype, and impact of the detected native defects on wafer CD was evaluated. There was observed a pronounced relationship between the DSI and wafer CD; and this means that the ABI tool could detect wafer printable defects. Using the total DSI variation, the capture rate of the smallest defect critical for 16 nm node was estimated to be 93.2 %. This means that most of the critical defects for 16 nm node can be detected with the HVM ABI prototype.
Influence of phase defect on printed images of mask pattern was experimentally investigated by printing contact hole (CH) pattern of hp 32 nm on wafer. And the experimental results were compared with the simulation results. A test mask prepared for this experiment contained programmed phase defects of 92 nm ~ 34.8 nm in width and of around 0.68 nm ~ 1.65 nm in depth. The defects were arrayed in a way such that the pitch of the array would differ from the pitch of the absorber contact hole pitch. Therefore, the phase defects were placed at different positions relative to those of the CH patterns. Mask patterns were printed on wafer using an exposure tool NXE3100 with a numerical aperture (NA) of 0.25 and a reduction of 4X. To evaluate the printed patterns affected by the phase defects, circular illumination was employed. The incident angle of mask illumination chief
ray was 6 degrees. The printed CH patterns were measured by SEM. An influence of resolution limit of the resist pattern did seem to appear in this experiment, to be a quantitative difference between the simulation and experimental results, the relative location dependence was quite noticeable and the effect of a phase defect was mitigated by covering the defect with an absorber pattern.
EUV lithography is the leading candidate for sub-32nm half-pitch device manufacturing. EUV Pre-Production Tool
(PPT) is expected to be available at the end of 2010. As EUVL era comes closer, EUVL infrastructure has to get mature
including EUVL mask stack. To reduce HV CD bias which comes from shadowing effect, thin mask stack has been
considered. We presented that EUVL mask with 58nm absorber height shows same printing performance with
conventional EUVL mask with 80nm absorber height in our previous work. CD change and pattern damage at the
exposure field edges due to light leakage from the neighboring fields were also demonstrated.
In this paper, optimal mask stack which shows lower H-V CD bias than conventional structure using 70-nm-thick
absorber is proposed. To find minimized absorber height for sub-32nm pattering experimentally, printing result of
conventional mask and thin mask stack with 1:1 L/S patterns will be compared. Further-on, we demonstrate the printing
result of the reticle which is designed to minimize CD error at the exposure field edges due to mask black border
reflectivity by reducing reflectivity from the absorber.
All the wafers are exposed at ASML Alpha Demo Tool (ADT) and Pre-Production Tool (PPT) S-litho EUV is used for
simulation.
Conventional EVUL mask has 80nm absorber height which brings considerable shadowing effect. H-V CD bias of 40nm
line and space by shadowing effect is more than 4nm, and that is expected to increase much more for narrower patterns
by simulation. However various reports have been presented on mask shadowing bias correction, experimental results
are not reliable to derive required mask bias correctly. Even more difficulty will arise when complex 2D structures are
taken into account. Therefore minimization of shadowing effect by reducing absorber thickness is desirable. To transfer
EUV lithography from experimental stage to HVM era, we need to find optimum absorber height of EUVL mask which
allows us less shadowing effect with minimum loss of process window.
In this paper, we present optimal absorber height of EUV mask which has been found in terms of shadowing effect and
process window by simulation and exposure. To find minimized absorber height experimentally, we will compare the
printing result of conventional and thin mask stack using simple 1:1 line and space and island patterns. Simulated H-V
CD bias and process window will be presented.
As the nano-lithography technology continues to develop towards advanced generation of ArF immersion lithography,
the quality of ArF EAPSM becomes the most valuable factor for worldwide Maskshop. Therefore outturn of ArF
EAPMS increase continuously, and people who work in the fields of semiconductor engineering give consequence to
good quality of ArF EAPSM until the EUV lithography generation. Because 300mm wafer litho-facility use higher
exposure energy, wider shot field and more shots per a wafer for achieving more memory(DRAM or Flash) chips than
200mm exposure facility, photo engineer wants unchanged initial condition of mask quality(CD MTT, CD Uniformity,
repeating defect, phase shift and transmittance). In other words, mask manufacturer must focus on the concept of ArF
EAPSM 'life time'.
We have investigated the influence grade inducing the lithographic variation between the growth of exposure energy
based Haze phenomena, thin organic pellicle membrane characteristics, and we have verified that the ArF pellicle
durability is one of the most important evidence for improvement of life time of ArF EAPSM.
In this study, related with ArF EAPSM life time, we tried to evaluate the influence of ArF pellicle characteristic
consisting of pellicle membrane transmittance strength (durability against ArF laser source) and non acid mask condition
for the period of non Haze contamination without added re-pellicle → re-cleaning cycle. Metrological inspection and
evaluation was conducted with several equipment and analysis including mask inspection, Scatterometer, IC, ArF laser
accelerator.
One of the major issues introduced by development of Extreme Ultra Violet Lithography (EUV) is high level of flare and shadowing introduced by the system. Effect of the high level flare degrades the aerial images and may introduce unbalanced Critical Dimension Uniformity (CDU) and so on. Also due to formation of the EUV tool, shadowing of the pattern is another concern added from EUVL. Shadowing of the pattern will cause CD variation for pattern directionality and position of the pattern along the slit. Therefore, in order to acquire high resolution wafer result, correction of the shadowing and flare effect is inevitable for EUV lithography.
In this study, we will analyze the effect of shadowing and flare effect of EUV alpha demo tool at IMEC. Simulation and wafer testing will be analyzed to characterize the effect of shadowing on angle and slit position of the pattern. Also, flare of EUV tool will be plotted using Kirk's disappearing pad method and flare to pattern density will also be analyzed. Additionally, initial investigation into actual sub 30nm Technology DRAM critical layer will be performed. Finally simulation to wafer result will be analyzed for both shadowing and flare effect of EUV tool.
As design rule of memory device is shrinking, the various errors obtained by process, such as line edge roughness, local CD variation and electron beam shot placement error, are significant to CD measurement results on mask and wafer. Reliable CD measurement is needed to represent real feature size of mask and wafer results in high accurate CD target and uniformity by various CD correction techniques before mask fabrication and after. Recently light transmittance control technique on mask has been introduced, which reduce the field CD variation of wafer [1]. To correct the wafer field CD uniformity by selective control of the light transmittance of mask, good correlation of mask CD and wafer field CD is important [2][3]. AIMS (aerial image measurement and simulation) or light intensity uniformity of inspection tools or other light intensity measurement tools are generally used to measure mask CD uniformity on mask. In this study, mask CD uniformity measured by CD-SEM was used to compensate the field CD variation on wafer, by enhancing the correlation between wafer field CD uniformity and mask using spatial filtering of SEM image and area CD measurement concept. Expected residual error of wafer field CD error using correction of mask CD uniformity were compared to wafer CD variation by selective light correction using wafer CD uniformity map.
Haze formation on reticle continues to be a significant source of concern for the photolithography.
Possible sources and causes continue to be investigated. This paper provides a haze source evaluation result
under the sub-pellicle defect on the mask.
It is well known that there are several sources to produce the haze. One is inorganic molecules such as SOx, NH3,
H2O and CO2. The haze formation of inorganic sources is promoted for growing defect size by the exposure
energy in time. The other is organics that are prevalent Fab and storage environment.
In this paper, we deal with the haze that is immediately generating with a low energy exposure. Especially, this study
treats the haze source during the mask packaging method.
As the semiconductor industry requires lithography suitable for 32-nm node, extreme ultraviolet lithography (EUVL) has the potential to provide this capability for the mass fabrication of semiconductor devices. But because an extreme ultraviolet (EUV) lithography exposure system is operated in vacuum, during irradiation by EUV light, hydrocarbons are decomposed in vacuum1-3, for example, by the out-gassing from EUV mask, and contaminate the surface of imaging optics which is coated with Mo/Si multi-layers with carbon. Thus, this contamination not only reduces the reflectivity of the Mo/Si multi-layers of imaging optics and degrades the exposure uniformity, but also degrades the resolution of the imaging optics. In this study, as we examined the volume of the out-gassing and the species from EUV mask after every process for EUV mask production, we will control the carbon contamination of EUV mask.
Keywords: EUV, carbon contamination, reflectance, out-gassing
A new inspection system with DUV laser beam and high NA optic for EUV mask has been developed to inspect defects
on EUV blank mask and defects by process and handling. The development of new reflective image and optics has
increased inspection speed on EUV mask before absorber etch and after absorber etch. Defect classification and
operation has increased the productivity of inspection and particle control on EUV mask process. With this new
inspection system, defects on blank mask, after resist develop and after etch processed mask were classified and
evaluated to install EUV mask process. And defect sensitivities according to various pattern size and process steps were
evaluated with required defect size of simulated printing effect on wafer. Designed defect pattern of 46nm node were
prepared. Blank masks from Hoya were used. Patterns were exposed using 50KeV electron beam writer. After resist
develop, patterns with program defect were inspected. After absorber etching, defects were inspected and evaluated.
According to sub film, inspection condition was optimized. Using simulation tool, defects printability were simulated
and compared with sensitivity of this inspection tool. Our results demonstrate that this inspection tool is very effective
to detect and identify defects and their sources on EUV mask process. In this paper, mask inspection performance of
high NA, DUV optic with short working distance was evaluated and described on programmed EUV mask.
We choose thermal treatment as part of a methodology to remove chemical residue on the surface of a mask. This new step of thermal treatment is inserted into our standard cleaning process for embedded attenuate phase shift masks (EAPSMs). The treatment is carried out in a modified hot plate system at various temperatures and times. After thermal treatment, ion chromatography measures the residual ions on a given surface. The thermal treatment is found to considerably reduce residual sulfate ions on the mask surface. The remaining sulfate ions on the mask are <0.18 ng/cm2 using thermal treatment.
In recent years, organic compounds have been clarified as one of major root causes of the haze, and carbon and amine components are major of them to organize. Therefore, both two should be controlled simultaneously for preventing haze defects on photomask. It is well known that UV/O3 treatment has a strong efficiency of removing organic matters1. For that reason, we have inserted it into our cleaning process, especially for EA.PSMS. And the surface variation of mask, after UV/O3 treatment, has been confirmed by the change of surface free energy on it. And organic matters remaining on mask surface have been identified by Gas Chromatography Mass Spectrometry (GC MS) with two different sample preparation methods: Thermal Desorption (TD) and direct extraction. As a result of UV/O3 treatment, we confirmed that it has an excellent removing efficiency of aromatic compounds and semi-volatile organics on mask surface. Finally, through the haze accelerating tests, we have known that conventional SPM/SC-1 cleaning with UV/ O3 treatment has been having a much higher threshold energy value in terms of causing haze defects on masks about 20 times higher than that of the cleaning with just SPM/SC-1.
We have chosen a combination of thermal treatment and hot D.I water rinsing as a part of methodologies to remove chemical residuals on mask surface. A new step of thermal treatment has been inserted in our standard manufacturing procedure for EAPSM. After thermal treatment, Ion Chromatography (IC) methods are used to confirm the surface cleanliness. As a result of our study, thermal treatment can considerably reduce residuals (e.g. ammonium, sulfuric and others) on mask surface. So, it could be suggested that the thermal treatment is an effective way to minimize residual ions. Also, in order to understand on haze source and mechanism, we investigated on artificial acceleration method for haze formation. We preceded haze acceleration test by using modified haze acceleration system (UV 172nm light). From the acceleration test, we found that humidity, irradiation energy, concentration of chemical residuals are dominant factors of haze formation.
Various sources contribute to mask haze formation including: chemical residuals from mask cleaning, out-gassing from pellicle glue/materials, and contaminants from the scanner ambient. This joint work examines cleaning techniques for haze minimization and whether or not there is haze formation after continuous laser irradiation. Masks with various designs and different cleaning techniques were tested in an ideal environment, isolated from out-gassing or other possible contaminants from the fab environment. Masks with and without patterns were subjected to 40kJ, accumulated dose, of laser radiation to simulate a wafer fab environment. Ion Chromatography (IC) and other surface analytical techniques were used to check the surface condition of masks before and after laser exposure. No haze was found on masks through transmission and IC measurements, when the test chamber was N2 purged. This may suggest that new cleaning techniques have helped reduce chemical residuals on masks. It is less likely for haze to grow when masks are clean to an ionic level and when laser exposure occurs in an uncontaminated, purged environment.
We investigated the control of residual ions on the mask surface and the phase/transmission change rate by using thermal treatment after a conventional cleaning process. We hypothesized that the remaining sulfuric ions on the mask surface could combine with other ions and produce compounds during the thermal treatment. These compounds are easily removed by a hot D.I water rinse. Our study shows that the amount of remaining sulfuric ions is 250ng/mask when the mask has been thermally treated. The amount of sulfuric ions is substantially reduced compared to the results of other cleaning processes. Additionally we have found that the thermal treatment can be reduced varying the phase/trans value according to the cleaning cycle and the variation was stable even with a higher concentration of SC-1 solution.
In Embedded Attenuated PSMs(Phase Shift Masks), chrome residues on MoSiON, especially at the edge of a pattern, should be decreasing the phase-shift effect and it must be also causing CD(critical dimension) variations in a wafer-process. Chrome residues on MoSiON are well known being generated at second level lithography or according to performance of cleaning process before it. In this paper, we investgated the influence of treatment on Cr surface during MoSiON etch process using CF4 plasma and proposed the optimum treatment procedure to reduce the Cr residues originated form re-deposition of carbon-contained polymers in CF4 plasma.
As feature sizes of phase shift mask (PSM) have dropped below half-micron, resist adhesion have become a more critical issue, especially during second level lithography. Second writing process requires special consideration, because the resist's mechanical strength of resists on patterned chrome and patterned glass is smaller in comparison to that on the un-patterned chrome blank. If the adhesion strength is not sufficient to withstand the stress during subsequent processes, patterns will be damaged during second level lithography. Resists stress at pattern edges that subsequent processes, pattern will be damaged during second level lithography. Resist stress at pattern edges that weaken its adhesive property, together with the low mechanical strength of resists on glass, creates ample probability for the unwanted phenomenon in PSM process. In this paper, we investigate the effects of property and adhesive strength of resists on surfaces at different treatment before resist coating process, and observe the defects generation after different treatment.
The cleaning of embedded-attenuated phase shift masks (EAPSMs) is one of the most important enabling capabilities in the production of high-quality masks. Particles are commonly generated during Cr and MoSiON etching using inductively coupled plasma (ICP) tools. The cleaning process of EAPSMs requires not only the removal of particles on the phase shifting layer (MoSiON) and glass, but also the ability to leave the phase and transmission properties intact.
Megasonic cleaning is a technique commonly used for removing particles on the surfaces of photomasks. However, megasonic processes employing SC-1 chemistry (specifically ammonium hydroxide) cannot be applied to a MoSiON PSM. Chemical erosion of the alkali-soluble phase shifting layer will drive phase and transmission performance outside of customer specifications. Therefore, EAPSM cleaning must balance simultaneously the complete removal of particles with the prevention physical damage. For these reasons, the cleaning process requires a high degree of control.
In this paper, a new cleaning method was applied to MoSiON-based masks utilizing megasonic cleaning because it had a little change on phase and transmission. Traditional SC-1 chemistry was not used at all. Results obtained through this method showed a little change on phase and transmission. The particles on glass also can be sufficiently removed using megasonic process with the dilute SC-1 chemistry. The cleaning technique for the fabrication of EAPSMs with no variation in phase and transmission after the cleaning process will be presented.
There is considerable interest in phase shift masks as a route to extending the resolution, contrast, and depth of focus of lithographic tools beyond what is achievable with the normal chrome mask technology. A problem that has so far hindered the introduction of phase shift masks has been the difficulty of phase and transmittance control when a phase shift mask is applied to practical use. Also, to apply phase shift layer (MoSiON), it remains that effects several critical mask parameters including sidewall slope, surface roughness, and critical dimension. For these reasons, this process requires a high degree of control of the etch process of shift layer. So in this paper, we described a technique for the fabrication of phase shift masks by etch rate of a MoSiON layer. Etching experiments of MoSiON were performed using different fluorinated gas mixtures. Four of them, CF4/O2/He, SF6/O2/He, CHF3/O2/He and Cl2/CF4/O2/He were chosen for high etch rate, sidewall slope, and surface morphology. Each added gases had a unique property on the etch rate, anisotropy, surface roughness and sidewall morphology. Result indicates that vertical slope and smooth surface are obtained using the Cl2/ CF4/O2/He and SF6/O2/He mixture. With increasing O2 flow rate to the SF6/O2/He Plasma and added Cl2 gas to the CF4/O2/He Plasma, the MoSiON etching profile becomes anisotropic without undercutting and trench profile. It is probably due to both increasing etch rate and sidewall passivation of Cl2 ion flux. When Cl2 gas was added to the CF4/O2/He Plasma, the small addition of chlorine was enough to protect the exposed sidewall of the undercutting, therefore, higher flow rate of chlorine had to be added to protect the sidewall of the undercutting by forming a sidewall passivation layer. These results show that both increasing O2 flow rate to the SF6/O2/He Plasma and the addition of Cl2 to the CF4/O2/He plasma are necessary in order to achieve a vertical profile and a smooth surface morphology.
The selectivity and etched profile of MoSiON in high-density CF4/O2/He inductively coupled plasma (ICP) have been studied. The etched profiles of MoSiON along with the quartz surface morphologies were investigated as a function of etching parameters by scanning electron microscopy (SEM). We varied pressure from 5 mtorr to 20 mtorr and CF4 flow rate from 15 sccm to 40 sccm. A smooth quartz surface and a vertical MoSiON slope were observed under 10 sccm CF4, 15 sccm of O2 flow rate, -240 V of DC bias and 5 mtorr pressure. And the other conditions showed rough quartz surface and bad MoSiON slope. Only at the appropriate CF4/O2 Flow rate, high vapor pressure compounds inhibits nonuniform quartz etching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.