The potentiality of line width roughness (LWR) reduction by ion implantation (I/I) in the extreme ultra violet (EUV)
lithography resist pattern was studied. The Argon ions were implanted to the Line-and-Space (L/S) pattern of EUV resist
with changing ion energy, dose and incident angle. The LWR and line width of 32 nm half-pitch L/S pattern was
evaluated after development, after I/I and after dry etching of the experimental thin hard mask beneath the resist pattern.
The LWR of 4.2 nm 3 σ, corresponding to the reduction of 1.6 nm, was obtained for resist after I/I with relatively low
energy condition of 1~5 keV. On the other hand, the best value of LWR after hard mask dry etching was 3.6 nm 3σ with I/I energy of 15 keV. It was found that preferable I/I condition for LWR reduction cannot be decided I/I alone but
should be optimized combined with etching.
This paper reports the extracted risk issues on practical EUV resist processes and discusses verifications of them. The
risk issues were extracted with emphasis on critical dimension, defectivity and productivity for mass production EUV
resist processes. The authors verified these risk factors by utilizing available empirical knowledge. The authors found
that the micro loading effect of by-product in the resist development process was a key factor for CD uniformity. Also
discovered, was that high surface energy differences on the patterned wafers were a key factor for defectivity. As a result,
application of scan-dynamic development and dynamic scan rinse to EUV processes on a mass production level will
contribute greatly to CD and defect control as well as productivity.
Extreme ultraviolet lithography (EUVL) is the most promising candidate for the manufacture of devices with a half pitch
of 32 nm and beyond. We are now evaluating the process liability of EUVL in view of the current status of lithography
technology development. In a previous study, we demonstrated the feasibility of manufacturing 32-nm-node devices by
means of a wafer process that employed the EUV1, a full-field step-and-scan exposure tool. To evaluate yield, a test
pattern was drawn on a multilayer resist and exposed. After development, the pattern was replicated in SiO2 film by
etching, and metal wires were formed by a damascene process. Resolution enhancement is needed to advance to the 22-
nm node and beyond, and a practical solution is off-axis illumination (OAI). This paper presents the results of a study on
yield improvement that used a 32-nm-node test chip, and also clarifies a critical issue in the use of EUVL in a wafer
process for device manufacture at the 22-nm node and beyond.
Although EUV lithography has been prepared for next generation litho-technique for several years, there are still lots of
obstacles on its way. Especially, phase defect from the mask, and immaturity in the resist should be solved as soon as
possible because they are directly related to realizing patterns on the wafer. ASET has been focusing on these two
problems, that is, the mask-related defect control and the resist screening for EUV application. In this study, we
concentrate on the resist evaluation for the EUV lithography application, mainly commercial CAR (Chemically-
Amplified Resist) type resist, for example, ArF resist based on polymethacrylate and KrF resist based on poly(4-
hydroxystyrene) (PHS). We screened tens of resists in viewpoint of resolution, photo-speed, and LWR (Line Width
Roughness). We used two METs (Micro-Exposure Tools). The one is HiNA in ASET and the other is MET in Lawrence
Berkeley National Lab. (LBNL) to evaluate resist. And we used EUV masks fabricated by DNP and ASET. Some resist
showed modulation on the wafer for 28nm-hp line and space pattern and some resist showed very high photo-speed
about 5mJ/cm2. Photo-speed could be improved about 25% by controlling the amount of additives, PAG and quencher.
However, improvement in photo-speed caused degradation in resolution. This means there are trade-off relation
between resolution and photo-speed. And we also evaluated polymer-bound PAG resist, which showed new possibility
for EUV resist. And we encountered unexpected problem, pattern lifting, which was solved by using bufferlayer to
increase attachment force between resist and wafer surface. We conclude that polymer bound PAG resist is a good
approach to lower LWR of resist for EUVL application and bufferlayer tuning and matching with resist is also needed
for low LWR. The EUVL masks were fabricated by Dai Nippon Printing Co., Ltd. The HiNA set-3 projection optics
were developed and provided by Nikon Corporation. This work was supported by NEDO.
Arrays of rectangular patterns of various sizes were printed with the EUV micro-exposure tool (MET) at the
Lawrence Berkeley National Laboratory (LBNL) using the chemically-amplified resist MET-1K; and their fidelity to the
mask patterns was evaluated. The experimental results showed that the shortening of resist patterns in the lengthwise
direction was greater for smaller patterns. For example, the line-end shortening of half-pitch (hp) 45-nm patterns was
about 20-25 nm on one side, while that of hp-90-nm patterns was less than 10 nm. However, simulated aerial images
exhibited little shortening, even for hp-45-nm patterns. On the other hand, considerable shortening appeared in hp-45-nm
patterns after post-exposure-baking (PEB) process. When the acid diffusion length in the PEB process was assumed to be
20 nm, the calculated shapes of resist patterns agreed well with the experimental results for various sizes. Printing
experiments showed that lowering the PEB temperature improved fidelity, probably due to the shorter acid diffusion
length. Thus, we concluded that acid diffusion is the main cause of shortening in rectangular patterns printed with the
MET. For better pattern fidelity, the acid diffusion length must be reduced in accordance with the reduction in pattern
size.
Although 50-56-nm contact-hole (C/H) patterns will be required in 2010, it is very difficult to fabricate such small C/H pattern by optical lithography. Since extreme-ultraviolet lithography (EUVL) uses a much shorter wavelength than optical lithography, it should provide better image contrast. We have installed a high-numerical-aperture (NA = 0.3) small-field EUV exposure tool (HiNA) and are now evaluating the printability of various kinds of patterns. In this study, C/H patterns with sizes of 50-150 nm were printed using the HiNA optics under the annular illumination (σ=0.5-0.8), and the printability was assessed. Fine C/H patterns, such as dense 55-nm C/H and isolated 50-nm C/H, were successfully fabricated using a binary mask without optical proximity correction. The slope of the mask linearity was about 1.0-3.0 for dense C/H (mask CD: 80-150 nm) and about 1.0-4.0 for isolated C/H (mask CD: 90-150 nm). Simulation results indicate that the aberration, the flare and the central obscuration of the HiNA optics considerably degraded the aerial images of fine C/H patterns. They also indicate that annular illumination (σ=0.5-0.8) is not suitable for obtaining good mask linearity in C/H patterns. A smaller central obscuration, less aberration, less flare and the optimization of σ should improve the resolution limit and mask linearity for C/H patterns.
Since device makers must use the lowest cost process for their survivals, they will want to use their old refractive litho-tools such as ArF and KrF. They will want to extend their refractive optical paradigm by using the immersion lithography. However, simulation results show that it is difficult or impossible to print sub-30nm patterns using immersion without resolution enhancing techniques, for example, double exposure. Therefore EUV is a promising candidate to prepare the next generation litho-technique. ASET is focusing all efforts on developing EUV mask and EUV resists. In this paper, we have focused on and evaluated resists for EUV lithography targeting sub-30nm patterning. The resists we evaluated were mainly chemically amplified resist for KrF and ArF and new type of resist for EUV. And we also tuned resists with solution and additives. We also checked several properties such as LWR (Line Width Roughness), minimum resolutions, and sensitivity curves. Several candidates have shown potentialities for EUV resists. In present, EUV resist is not perfect and has unsolved problems such as outgassing and low speed, but it will be also improved as soon as ArF and KrF have been done.
To find resists having high resolution accompanied with good sensitivity and small LER is a big issue in EUV lithography to make path for volume manufacturing. We have started screening of resists by using high numerical aperture (NA) micro-exposure tool HiNA. Some of the results within 29 evaluated resists, including commercial and non-commercial, are presented with the consideration of relationship between optical conditions. The results obtained by another high NA micro-exposure tool MET located Berkeley National Laboratory are also shown and compared with the results by HiNA. In both exposure tools, down to 28 nm dense patterns were replicated but the LER was about 4 nm at best showing the requirement for further works
Supercritical drying (sc-drying) was applied for the photoresist (resist) patterns replicated by proximity x-ray lithography. By that technique, 70 nm L/S patterns with the aspect ratio of 5 were successfully obtained without pattern collapse for both solvent and aqueous development resists by ZEP and UV6, respectively. The procedure was that a puddle developed 8 inch wafer was rinsed 3 times successively without spin drying by changing rinse liquids, and the wafer wet with the 3rd rinse solution which is soluble in supercritical CO2 was transferred to a sc-drying chamber. The sc-drying process was performed with a condition of 8 MPa and 55 degrees C for about 15 min. The process uniformity within a wafer was examined by measuring the pattern width of 100 nm L/S with the resist UVII-HS and it was quite satisfactory. The feasibility study of dry etching with the sc-dried resist was performed. No noticeable change was found in etching ratio between with/without sc-dried resist. The composition change of resist was also investigated by thermal desorption spectroscopy (TDS) and by molecular weight dispersion measurement, and no change was found after sc-drying. The sc-drying technique has high potential to be accepted in semiconductor device manufacturing process.
PXL technologies in Japan have highly improved during the period of ASET program. A newly developed EB writer and the writing processes achieved accuracy of image-placement <10nm and CD uniformity <7nm(3(sigma) ). Together with the improvement of absorber materials, X-ray masks required for the 100nm technical node were fabricated and those for 70nm node are within achievable levels. The alignment accuracy about 20nm and the use of magnification correction have verified the overlay accuracy <30nm, which is sufficient to 100nm node. BY improving the alignment accuracy, the exposure of 70nm node may be possible by using the present stepper. Furthermore, the next generation system with shorter wavelength may open the door for 50nm node and below.
The keys to precision x-ray mask fabrication are the EB mask writer and the process of writing on a thin membrane. This paper concerns the delineation performance for 100 kV EB writing on x-ray membrane mask. We installed and evaluated an advanced EB mask writer, the EB-X3, which features an accelerating voltage of 100 kV and a 5-axes laser interferometer stage employing a laser measurement system with a resolution of 0.6 nm for high resolution and accuracy. The stable 100 kV EB has a good resolution around 50 nm and a beam address of 1 nm, which provide a repeatability of mark detection within 4 nm. As a result, an absolute image placement accuracy within 15 nm was obtained for 1G-bit level ULSI patterns. In addition, the combination of 100 kV EB and membrane process was found to reduce proximity effects. By several improvements including higher-order height correction and membrane process refinement, the final target of an absolute image placement error within 10 nm and a CD accuracy within 8 nm should be achieved in FY2000.
In order to specify the sensitivity of the next generation inspection system, we investigated the printability of x-ray mask defects by using the simulator (Toolset) developed by University of Wisconsin. The defect size replicated in the resist was simulated for various exposure conditions such as exposure gap, mask contrast, and beam blur. The critical dimension (CD) errors due to mask defects were also calculated for the mask defect size, opaque and clear defects, and the mask pattern configuration. Based on these results, the critical size for 100 nm feature line-and-space (L&S) patterns was discussed and the sensitivity of defect inspection system for 100 nm feature L&S patterns is estimated to be at most 40 nm as the critical defect sizes inducing 10 nm CD error.
This article presents the alignment performance of the two- wavelength optical heterodyne alignment system in the x-ray stepper XS-1. The alignment accuracy obtained by the double- exposure method with a single mask and a Si trench wafer was better than 20 nm. The dependence of the alignment accuracy on Si trench depth indicated that the two wavelengths compliment each other and ensure a 3(sigma) of less than 20 nm. The alignment capabilities for other processed test wafers were also investigated by mix-and-match exposure. For etched SiO2 and poly-Si film on a Si trench, an accuracy below 20 nm was obtained. For AlSiCu film sputtered on etched SiO2, there appeared systematic alignment offsets depended on die position, which are thought to be due to a wafer-induced shift. The systematic offset errors were eliminated by the use of send-ahead wafer and corrections for individual offsets on each die, and thus the alignment accuracy was improved to 20-40 nm for each alignment axis. The two-wavelength heterodyne alignment system of the XS-1 has sufficient potential for 130-nm lithography and below.
The possibilities of sub-quarter-micron pattern fabrication by e-beam lithography with single- layer resist was studied on 0.5 micrometers thick W x-ray mask absorber. Calculation was made to evaluate the parameters determining the e-beam dose profile in the resist. It was found that at the incident energy of 40 keV pattern contrast in the resist, whose thickness is 0.2 micrometers , is homogenized through the depth. The experimental result proved that 0.15 micrometers line/space can be resolved by using a high contrast resist with this thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.