Resolution, line edge roughness (LER) and sensitivity (RLS) and defectivity are the well-known critical issues of extreme ultraviolet (EUV) lithography. To break the RLS triangle, metal oxide resist (MOR) is a promising candidate. However, further improvement of MOR process is required for high volume manufacturing to maintain low defectivity. In this paper, conventional and new processes for MOR pitch 32 nm line and space (L/S) and 36 nm pillar patterns was investigated. This new process was able to perform good sensitivity without degrading roughness. In addition, further optimization for underlayer and developer process could mitigate pattern collapses. MOR treatment was evaluated as another technique for roughness improvement. At last, bottom scum defect would be reduced by new process.
EUV (extreme ultraviolet) lithography has been introduced in high volume manufacturing in 2019 and continuous improvements have allowed to push the lithographic performance to the limits of 0.33 NA single exposure. However, stochastic failures, pattern roughness and local critical dimension uniformity (LCDU) are still major challenges that need to be addressed to maintain node shrinkage and improve yield. Together with pitch downscaling, photoresist thickness is decreasing to prevent pattern collapse. A lower depth of focus is also expected with high NA EUV which might even thin further down the resist layer. Being able to transfer the patterns with good fidelity is therefore getting very challenging because the resist “etch budget” is becoming too small to prevent pattern break during plasma etch transfer. A co-optimization of lithography processes, underlayers coating and etch processes is essential to further support the EUV patterning extension.
In this report, recently developed hardware and process solutions to stretch the limits of EUV patterning will be presented. The latest performance for both chemically amplified resists (CAR) and metal oxide resists (MOR) will be introduced, with a focus on defect mitigation, dose reduction strategies and CD stability.
This paper reports the readiness of key EUV resist process technologies using Metal Oxide Resist (MOR) aiming for the DRAM application. For MOR, metal contamination reduction and CD uniformity (CDU) are the key performance requirements expected concerning post exposure bake (PEB). Based on years of experience with spin-on type Inpria MOR, we have designed a new PEB oven to achieve contamination mitigation, while keeping our high standard of CDU. The new bake oven was introduced in our coater and developer and evaluated using line/space patterns. As described in the results, exceptional CD uniformity was realized while exceeding the metal contamination specification. The new plate design also enabled a 30% reduction in dose-to-size without degradation of CDU when applying higher PEB temperature. Another challenge for the DRAM application in particular is pattern collapse as applied to pillar patterns. By optimization of several parameters, the pattern collapse margin extended the minimum CD by 13.8%. The result was achieved with a combination of SiC in place of SOG for under layer, thinner resist film thickness and a modified resist material, MOR-B. Finally, to achieve target yield performance, defectivity reduction is also an important task towards MOR application. An integrated approach is needed to realize scum free patterning because if metal residuals remain in the open space, they can cause yield-killing defects. By analyzing possible root causes of defect sources, we attempt to eliminate etch-masking scum layer present after conventional developer processing. By applying a post develop rinse including novel hardware for defect reduction, bridge defects were reduced up to 19% with new the technology.
The application of metal-oxide resist (MOR) for mass production is highly expected since MOR has an advantage of higher resolution. However, the metal components itself has a high risks of metal contamination and the defects based on metal components. Therefore, control of defects is more important than conventional chemically amplified resist (CAR). In this paper, reduction of defect densities are examined by latest technologies in the coater/developer. As results, on pillar pattern, many fall-on and scum defects were observed and majority of them were transferred to underlayer by etching process. In order to reduce the fall-on and the scum defects, three possible solutions were proposed. First, the latest resist supply system was designed for MOR. In addition, particles emitted from the latest supply system was reduced compared to the conventional system. Second, improvement of purity of the developer solution contributed to the reduction of fall-on particles. Third, application of the optimized rinse after development reduced 12% of the scum defect on the pillar pattern after lithography. It contributed to 19% (single bridge) and 18% (multi bridge) reduction after etching process. Furthermore, the optimized rinse reduced the single bridges on the line and space (L/S) pattern by 14% after etching process. These proposed three technologies are expected to be utilized for high volume manufacturing.
EUV (extreme ultraviolet) lithography is progressively being inserted in high volume manufacturing of semiconductors to keep up with node shrinkage. However, defectivity remains one big challenge to address in order to be able to exploit its full potential. As in any type of lithographic process, processing failures and in-film particles are contributors that need to be reduced by the optimization of coating and development processes and improved dispense systems. On top of these defects, stochastic failures, due to photon shot noise or non-uniformities in the resist, are another major contribution to the defectivity. To support their mitigation, etch process can be used to avoid their transfer to underlying layers. However, it requires a sufficient resist mask thickness. For line and space patterns, providing more resist budget comes with a trade-off which is the increase of pattern collapse failures, especially with shrinking critical dimensions. Collapse mitigation approaches are therefore very important to enable tight pitches and were explored. Stack engineering and especially optimization of resist under layers will be crucial components to enable patterning and defect reduction of shrinking pitches. Finally, as an alternative to traditional chemically amplified resists, metal containing resists are also promising because of their inherent high etch resistance. Dedicated hardware and processes were developed the use of such materials and prevent metal contamination to other tools during further processing steps.
In this report will be presented the latest solutions to further decrease defectivity towards manufacturable levels and provide more process margin to achieve better quality patterning towards the limits of NA 0.33 EUV exposure. Furthermore, technologies to improve CD uniformity and stability, which are required for mass production, will also be reported.
Although being progressively introduced to mass production, extreme ultraviolet (EUV) lithography still faces major challenges for 5nm and smaller nodes due to the impact of stochastic and processing failures, resulting in very narrow defect process windows. 1 These failures are strongly linked to critical dimension (CD) variations.2 Therefore, careful control of CD is now directly linked to defect reduction in addition to more conventional in-film particles/developer residues reduction. Photoresist profiles are also believed to be one possible limiting factor and improvements via collapse control or increased resist mask thickness for etch transfer need to be considered. In this paper, most recent understandings regarding defect process window limitations and optimization of processes to further enable narrow pitch EUV lithography will be presented.
Extreme ultraviolet (EUV) lithography is now being introduced for the mass production of 7 nm process. In order to meet process requirements for 7 nm node, continuous work on coater/developer has been done to improve CD uniformity and defectivity. However, further improvements are still required especially for 5 nm or smaller nodes because of the increasing impact of stochastic failures. 2 The probability of such failures quickly increases with CD size, resulting in a very narrow defect process window. Therefore, strict control of CD is getting crucial to ensure stable yield in the future nodes. In this paper, optimization of processes has been explored to improve not only local CD variations, but also wafer uniformity and stability across batch processing. We will also present our latest technologies for the reduction of in-film particles in coated films and the optimization of development/rinse process for the reduction of residues and collapses.
Resist Formulation Optimizer (RFO) is created to optimize resist formulation under EUV stochastic effects. Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 reaction steps are included in the resist reaction model in RFO in addition to standard Chemically Amplified Resists (CAR) reaction steps. A simplified resist roughness calculation method is introduced in RFO. RFO uses “fast stochastic resist model” which uses continuous model information for stochastic calculation. “Resist component’s dissolution inhibition model” is also introduced for better prediction of different resist formulations in RFO. The resist component’s dissolution inhibition model is used for calculation of both Dissolution Inhibition Slope (DIS) and Dissolution Inhibition Deviation (DID). By dividing DID by DIS at a pattern edge, Line Edge Roughness (LER) can be predicted. The RFO performance is validated to give low residual errors after calibration even for different resist formulations. RFO is designed to optimize the resist formulation to minimize resist roughness as a cost function with keeping target CD. RFO suggests that PSCAR 2.0 with Polarity Switching photosensitizer precursor (POLAS) in combination with photosensitizer (PS) image enhancement may provide reduced resist roughness. Simulations using a calibrated rigorous stochastic resist model for S-Litho show a good prediction of PSCAR 2.0 process performance.
EUV lithography has been brought into mass production. To enhance the yield, improvements in critical dimension (CD) stability, and defectivity still remain of utmost importance. In order to enhance the defectivity performance on contact hole pattern, continuous work has been executed.1 As the result of process optimizations presented at SPIE 2018 for 24 nm contact hole half pitch pattern, single- and multi-closed hole modes as caused by particles included in coating materials, called “in-film particles”, or stochastic failures are the major of defects modes. For defectivity improvement work done this year, optimization of material dispense has been carried out in an attempt to improve the defectivity of single- and multi-closed holes as caused by in-film particles. As the result, totally 19 % of defectivity improvement was obtained as compared with conventional dispense conditions. On the other hand, CD variability is comprised of several components such as wafer to wafer, field to field, within field, and local CD. In addition, not only coater/developer but also scanner, mask, and materials contribute to the variations. In this paper, CD uniformity (CDU) optimization on also contact hole 24 nm half pitch pattern has been executed to improve each component from the standpoint of coater/developer. As a result of the optimization of development process, 13.9 and 6.4 % of field to field and within field CDU improvement have been achieved, respectively.
Photosensitized Chemically Amplified ResistTM (PSCARTM) has been demonstrated as a promising solution for a high sensitivity resist in EUV lithography mass production. This paper describes the successful calibration of a PSCAR resist model for deployment within rigorous lithography process simulation, capturing continuum as well as stochastic effects. Verification of the calibrated model parameters was performed with new patterns or with new resist formulations with good agreement. The reduction of required EUV dose of PSCAR resist while maintaining similar roughness levels have been achieved both from experimental result and from simulated result. The simulation of PSCAR continues to be a great tool for understanding, predicting, and optimizing the process of PSCAR.
EUV lithography will to be brought into mass production soon. To enhance the yield, improvements in critical dimension (CD) stability, and defectivity still remain of utmost importance. In order to enhance the defectivity ability on contact hole (CH) pattern, continuous work has been executed.1 On 24 nm contact hole half pitch pattern, residue and single-closed hole modes still remain the majority of defects. The main cause of residue defects is that water droplets from the rinse process, in which resist components are absorbed, are dried out on the wafer leaving a remaining residue. While probable causes of single-closed hole are particles included in the coating material or stochastic failures. To reduce the residue defect counts and single-closed hole as caused by in-film particles, optimization of rinse process and material supply system have been carried out. As the result, 97 % of residue defect reduction and 73 % of single-closed hole defect improvement have been achieved as compared with conventional processes. On the other hand, not only coater/developer but also EUV scanner, mask, resist, etc. contribute to the CD variation.1 Global CD uniformity (CDU) is comprised of several components such as wafer-to-wafer CDU, field-to-field one, withinfield one. In this paper, optimization of development processes has been executed to improve field-to-field and within field CDU components. As a result of the optimization, 14 and 6.4 % of field-to-field and within-field CDU improvement have been achieved, respectively.
Photosensitized Chemically Amplified ResistTM (PSCARTM) **2.0’s advantages and expectations are reviewed in this paper. Alpha PSCAR in-line UV exposure system (“Litho Enhancer”) was newly installed at imec in a Tokyo Electron Ltd. (TELTM)’s CLEAN TRACKTM LITHIUS ProTM Z connected to an ASML’s NXE:3300. Using the Litho Enhancer, PSCAR 2.0 sensitization preliminary results show that suppression of roughness enhancement may occur while sensitivity is increased. The calibrated PSCAR 2.0 simulator is used for prediction of resist formulation and process optimization. The simulation predicts that resist contrast enhancement could be realized by resist formulation and process optimization with UV flood exposure.
In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8.
PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only.
Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.
Extreme ultraviolet lithography (EUVL) is getting closer to practical use for mass production every year. For applying EUV lithography to manufacturing, productivity improvement is a critical challenge. Throughput and yield are important factors for productivity. EUV source power is steadily advancing year by year, bringing improvements in throughput. Furthermore, yield improvement is necessary for productivity enhancement. In order to improve the yield in EUV lithography processing, further improvement of defectivity and critical dimension (CD) uniformity is required. One of the initial layers to be printed with EUV will be contact hole, therefore, we are concentrating on the productivity improvements of that layer.
In our report at SPIE 2017, defect reduction was achieved using the latest rinse technology in the development process and in-film defectivity was improved with material dispense optimization on a 24 nm contact hole (CH) pattern. On the basis of the knowledge acquired from the previous evaluation, improvements have been taken a step further in this next evaluation. As a result, 96% of residue defect reduction and 42% of in -film particle defect reduction has been achieved by further rinse optimization and improvement of dispense system.
For the other aspect of yield improvement, CD uniformity control is one of the crucial factors. CD variations are comprised of several components such as wafer to wafer CD uniformity, field to field CD uniformity. To achieve CD uniformity target for manufacturing, we have optimized developing process with the latest technology. Then, 15% of field to field CD uniformity improvement and significant improvement of wafer to wafer CD uniformity are achieved.
Extreme ultraviolet lithography (EUVL) technology is getting closer to high volume manufacturing phase every year. In order to enhance the yield of EUV lithography processing, further improvement of defectivity and CD uniformity is required at the moment. In our previous report in 2017, we have exhibited the defectivity reduction by applying our new rinse and dispense system to a 24nm contact hole (CH) pattern. On the basis of the knowledge received through that evaluation, further study for improvement of the defectivity has been investigated in this paper. As a result of further optimization of the rinse process, 83 % further reduction of residue defect from the result reported previously is achieved. Also, CD uniformity control is a very crucial factor towards EUVL manufacturing phase. We have exposed 15 wafer batches continuously for both line/space and contact hole patterns in order to confirm the current status of wafer to wafer (WTW) as well as field to field (FTF), die to die (DTD), and local uniformity. Now further work for improving CD stability is ongoing based on the results from this first trial.
Extreme ultraviolet lithography (EUVL) technology is getting closer to high volume manufacturing phase every year. In order to enhance the yield in EUV lithography process, further improvement of defectivity is required at the moment. In this paper, optimized rinse and new dispense system (NDS) have been applied to a 24nm contact hole (CH) pattern in order to achieve defect reduction. As a result, the optimized rinse reduced approximately 70 % of residue defects. In addition, NDS for coating process exhibited 80 % defect reduction in particles in the coating films of material such as SOC, SOG, and resist.
A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.