Paper
25 March 2011 Development status of EUV resist materials and processing at Selete
Author Affiliations +
Abstract
The Selete R&D program evaluates the feasibility of the Extreme ultraviolet (EUV) lithography process for manufacturing semiconductor devices. We therefore conducted a yield analysis of hp-2x-nm test chips by using the EUV1 (Nikon) full-field exposure tool. However, the resist performance did not comply with the stringent requirements of ultimate resolution, sensitivity, and line-width roughness. We subsequently reported two new Selete standard resists (SSRs), i.e., SSR6 and SSR7. SSR6 is the polymer resist used in hp-2x-nm test chip evaluation in which an ultimate resolution of 22 nm line-and-space (L/S) pattern was achieved. SSR7 is the first molecular resist that was evaluated for feasibility at Selete. SSR7 is a fullerene based resist with strong etching durability. By using this resist, an ultimate resolution of 24 nm L/S pattern was achieved. We have also evaluated resist processing by using SSRs for hp-2x-nm test chip evaluation. An ultrathin underlayer was evaluated for the improvement of pattern transferability. This optimized ultrathin underlayer was coated on the test chip substrate that was devoid of nano-sized-pinholes, and a fine pattern was observed on this ultrathin underlayer. In the evaluation of the development process, SSRs were evaluated with tetramethylammonium hydroxide (TMAH) and tetrabutylammonium hydroxide (TBAH) developer solutions. In summary, it was clear that the lithographic performance improvement varies depending on the type of polymer resist used with a particular developer solution. Furthermore, a significant improvement in the prevention of pattern collapse was demonstrated using a combination of the TBAH developer solution and alternative rinse solutions.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kentaro Matsunaga, Gousuke Shiraishi, Julius Joseph Santillian, Koji Kaneyama, Hiroaki Oizumi, and Toshiro Itani "Development status of EUV resist materials and processing at Selete", Proc. SPIE 7969, Extreme Ultraviolet (EUV) Lithography II, 796905 (25 March 2011); https://doi.org/10.1117/12.878432
Lens.org Logo
CITATIONS
Cited by 12 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Line width roughness

Photoresist processing

Extreme ultraviolet

Extreme ultraviolet lithography

Polymers

Etching

Back to Top