Open Access Paper
29 October 2014 Front Matter: Volume 9235
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9235, including the Title Page, Copyright information, Table of Contents, Invited Panel Discussion, and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Photomask Technology 2014, edited by Paul W. Ackmann, Naoya Hayashi, Proceedings of SPIE Vol. 9235 (SPIE, Bellingham, WA, 2014) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628412987

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2014, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/14/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9235_923501_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print and on CD-ROM. Papers are published as they are submitted and meet publication criteria. A unique, consistent, permanent citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages. Numbers in the index correspond to the last two digits of the six-digit CID Number.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tsukasa, 1H

Abboud, Frank E., 0W

Ackmann, Paul, 0I, 1U

Adam, Kostas, 1Z

Amano, Tsuyoshi, 1B, 1C, 1H

Ando, Hiroyoshi, 0X

Anpo, Akihito, 0X

Anze, Hirohito, 0X

Aramaki, Fumio, 0F

Asturias, Michael, 0W

Auth, N., 0R

Avizemer, Dan, 1P

Axelrad, V., 0C

Azumano, Hidehito, 0D

Barouch, Eytan, 14

Bar-Shean, Yoad, 1K

Batarseh, Fadi, 06, 09, 1Y

Bekaert, Joost, 1X

Belledent, Jérôme, 0V

Beltman, Jan, 22

Benk, Markus P., 0K

Beyer, Dirk, 1P, 1S

Boettiger, Tom, 1J

Bonam, Ravi, 13

Bork, Ingo, 0A, 1T

Boussey, J., 20

Bowers, Chuck, 25

Bowley, R., 0P

Bozano, L., 0P

Brand, Yulia, 1K

Browning, Clyde, 0U, 0V

Brunner, Martin, 25

Buck, Peter, 0A, 1J, 1T

Budach, M., 0R

Burbine, Andrew, 1X

Bürgel, Christian, 0A

Burger, Sven, 1D

Bustos, Jessy, 0V

Buttgereit, Ute, 0B, 1U

Cantrell, G. R., 0Z

Capelli, Renzo, 0N

Cekli, Hakki Ergun, 22

Cêtre, S., 20

Chandramouli, Mahesh, 0W

Chang, Jonathan, 05

Chao, Weilun, 0K, 0L

Chereshnya, Alexander, 1K

Chishima, Tatsuya, 1M

Cho, Chan Seob, 1I

Cho, David, 1I

Cho, Sang-Soo, 0Q

Choi, Chun Seon, 1R

Choi, Jin, 0Y

Choi, Kang-Hoon, 0U

Choi, Min-Ki, 1L

Choi, Yohan, 08

Chong, Nui, 05

Chua, Gek Soon, 09, 0A

Chung, Dong Hoon, 0B, 1K

Claus, Rene A., 1A

Cohen, Avi, 1P

David, S., 20

Davydova, Natalia, 0J

Degel, Wolfgang, 1P

Demura, Kensuke, 18

Deutz, Alex, 1F

Dmitriev, Vladimir, 1P

Donoghue, Alexander P., 0K

Dupre La Tour, Emilie, 22

Edinger, K., 0R

Endo, Toshi, 1Z

Eran, Yair, 1K

Eynon, Benjamin G., 0Q

Faure, T., 0P

Fay, Aurélien, 0V

Fenger, Germain, 1X

Fiekowsky, Daniel I., 0Q

Fiekowsky, Peter J., 0Q

Figueiro, Thiago, 0U, 0V

Finken, Michael, 0Z

Fleming, Y., 17

Fujimura, Akira, 08, 09

Gallagher, Emily, 13, 16

Ganachev, Ivan, 0D

Garetto, Anthony, 0N

Garreton, Gilda, 04

Gatefait, Maxime, 22

Geluk, C. P. E. C., 17

Goldberg, Kenneth A., 0K, 0L

Goldstein, Michael, 0N

Golladay, Steven, 0X

Goodman, Eliot, 0Q

Gopalakrishnan, Selvi, 0S

Gorhad, Kujan, 1P

Granik, Yuri, 1X

Grohs, Jonathan, 13

Gronheid, Roel, 1X

Guo, Eric, 23

Ha, Hyunchung, 0Y

Ha, Steven, 0Q

Ha, Tae Joong, 1E, 1R

Haase, Anton, 1D

Ham, Young M., 0Q

Hamaji, Masakazu, 21

Hamouda, Ayman, 1W

Han, Hak-Seung, 0B

Han, Sang Hoon, 1K

Harashima, Noriyuki, 1M

Hatakeyama, Masahiro, 0M, 1C

Hayashi, Naoya, 0F, 0M, 15

Hayashi, Takehide, 0M

He, Yuan, 1Z

Heisig, Sven, 1S

Hellweg, Dirk, 0N

Hendrickx, Eric, 0J

Hetzler, Jochen, 1S

Hibbs, Michael, 16

Hirano, Ryoichi, 1B, 1C

Hirano, Takashi, 0M

Hisamura, Toshiyuki, 05

Hofmann, T., 0R

Hohle, Christoph, 0U

Hopkins, Tyler, 25

Hwang, Dae Ho, 24

Iida, Susumu, 1B, 1C

Iijima, Tomohiro, 0X

Inoue, Hideo, 0X

Iso, Hiroyuki, 1M

Isogawa, Takeshi, 0P, 16

Ita, Hirotsugu, 0D

Itoh, Masamitsu, 0M

Iwami, Munenori, 0D

Jackson, Allyn, 0E

Jang, Dong Sik, 1R

Jang, Kyu-Jin, 1L

Janssen, J. P. B., 17

Jeon, Chan-Uk, 0B, 0Y, 1K

Jeong, Goo Min, 24

Jiang, Shangliang, 1V

Johnson, David G., 0K

Jun, JeaYoung, 1E

Jung, Hong Yul, 1K

Jung, HoYong, 0G

Kagawa, Masayuki, 0P, 13

Kaiser, Michael, 0U

Kamikubo, Takashi, 0X

Kamimura, So, 0O

Kamo, Takashi, 15

Kang, Byung-Sun, 1L

Kang, In-Yong, 1K

Kang, Jihoon, 0Y

Kanno, Koichi, 0F

Kase, Yoshihisa, 0D

Katou, Keita, 0O

Katsap, Victor, 0X

Kawai, Y., 0P

Kendall, Rodney, 0X

Kim, ByungJu, 0G

Kim, Chang-Jun, 1L

Kim, Jong-Min, 0Q

Kim, Jongsu, 0Y

Kim, Min-ho, 0B

Kim, MunSik, 0G

Kim, Sang Pyo, 0G, 1E, 1N, 1R, 24

Kimura, Norio, 0M

Kindt, Louis, 13

Kirsch, Markus, 1P

Kishimoto, Katsuhiro, 18

Koh, Hui Peng, 1l

Kojima, Yoshinori, 0X

Komizo, T., 0P

Koshijima, Kosuke, 0O

Koster, Norbert B., 17, 1F

Kozakai, Tomokazu, 0F

Krasnova, Polina, 1X

Krome, Thorsten, 25

Krumrey, Michael, 1D

Labau, S., 20

Lafferty, Neal, 1Z

Lawliss, Mark, 16

LeClaire, Jeff, 16

Lee, Dong-Heok, 0Q

Lee, Dong-Seok, 0Q

Lee, Hojune, 0Y

Lee, HyeMi, 0G

Lee, Jae-Chul, 1L

Lee, Jong-Hwa, 1L

Lee, Jong-Keun, 1L

Lee, Sanghee, 0Y

Lee, Sookhyun, 0Y

Lee, Sukho, 21

Lee, Sun Pyo, 1K

Lee, Sungil, 0Y

Lee, Young Mo, 24

Lim, Chin Teong, 1U

Lim, Jong Hoon, 1N

Lin, Qi, 05

Litt, Lloyd C., 0I, 1U

Liu, Xing Lan, 22

Lukanc, Todd, 09

Lyu, Chung Ki, 1K

Ma, Yuansheng, 1X

Madhavan, Sriram, 06

Magnusson, Krister, 0N

Malenfant, J., 0P

Mangat, Pawitter, 0J

Marusic, Jean-Christophe, 0V, 20

Massin, Jean, 22

Masunaga, K., 0P

Matejka, Ulrich, 0N

Matsuda, Osamu, 0F

Matsushima, Daisuke, 18

Mazur, Martin, 0S

McGowan, Sarah, 06

Mebiene-Engohang, A.P., 20

Meier, Dan, 1G

Meusemann, Stefan, 0I

Meyers, Gary, 0B

Mikami, K., OC

Mishra, Kushlendra, OA

Miyakawa, Ryan H., OK, OL

Miyashita, Hiroyuki, 0F

Morikawa, Yasutaka, 15

Mühle, Sven, 0S

Müller, Uwe, 1T

Mungmode, Ashish, 1I

Murakami, Takeshi, 0M, 1C

Murano, Koji, 15

Muto, Makoto, 0D, 18

Naka, Masato, OM

Nakamura, Satoshi, 18

Nakayama, Takahito, 0X

Nakayamada, Noriaki, 0X, 0Z

Nakazawa, Kazuki, 0D

Nakazawa, Seiichi, 0X

Nam, Byungho, 1R

Nam, Kee-Soo, 1L

Narita, Eisuke, 13

Nash, Steven, 0P, 13

Naulleau, Patrick P., 0L, 1A

Neureuther, Andrew R., 0L, 1A

Ning, Guo Xiang, 09, 0I, 1U

Nishimura, Rieko, 0X

Noh, Inhwan, 0Y

Noyes, Ben F., 0Q

Oh, Sung Hyun, 1R

Ohara, Shuichiro, 0T

Ohtoshi, Kenji, 0X

Okamoto, Yoshie, 0D

Öner, Nevzat, 0S

Oster, J., 0R

Pack, Robert C., 09, 1Y

Pain, L., 20

Pan, David Z., 04

Pan, Hans, 05

Pang, Linyong (Leo), 08, 09

Paninjath, Sankaranarayanan, 0A, 1J

Paracha, Shazad, 0Q

Park, Eui Sang, 1N

Park, Jisoong, 21

Park, Sunghoon, 21

Pastol, Anne, 22

Pauliac, Sébastien, 0V

Pereira, Mark, 1J

Perlitz, Sascha, 0N

Peters, Jan Hendrik, 0N

Philipp, Peter, 0I, 1U

Philipsen, Vicky, 0J

Pomplun, Jan, 1D

Pourteau, M.L., 20

Probst, Jürgen, 1D

Quaglio, Thomas, 0V

Raghunathan, Sudhar, 0J

Ren, Catherine, 23

Rivière, Rémi, 0S

Robinson, Tod, 16

Ronald, Rob, 1J

Rost, Dan, 1J

Saito, Kenichi, 0X

Sakamoto, Yoshifumi, 0P, 13

Salama, Mohamed, 1W

Samir, Bhamidipati, 1J

Sanchez, M., 0P

Scherübl, Thomas, 1S

Schiavone, Patrick, 0U, 0V

Schneider, H., 0R

Schoengen, Max, 1D

Scholze, Frank, 0J, 1D

Schulz, Kristian, 0I, 1U

Seidel, Dirk, 1S

Seki, Kazunori, 16

Seltmann, Rolf, 0S

Senna, T., 0P

Seo, Jung-Uk, 0B

Shi, Irene, 23

Shin, Cheol, 1L

Shin, Inkyun, 0Y

Shin, Jae Cheon, 1R

Shin, Soeun, 0Y

Shirahama, Hiroki, 0D

Shirakawa, Michihiro, 0O

Sidorkin, Vadim, 0Z

Silakov, Mikhail, 1Z

Smayling, M., 0C

Sobukawa, Hiroshi, 0M

Soltwisch, Victor, 0J, 1D

Somani, Shikha, 06, 1Y

Son, Jae Sik, 1N

Sooriyakumaran, R., 0P

Spies, P., 0R

Standiford, Keith, 09, 0A

Staring, Wilbert, 1F

Steigerwald, H., 0R

Steinert, Steffen, 1S

Su, Bo, 08

Sundberg, L., 0P

Sundermann, Frank, 22

Suzuki, Masafumi, 18

Tajima, Ryo, 0M

Takahashi, Nobuyasu, 21

Takai, Kosuke, 15

Takekoshi, Hidekazu, 0X

Tamamushi, Shuichi, 0Y

Tanabe, M., 0P

Tao, Takuya, 21

Taumer, Ralf, 25

Taylor, Ron, 1I

te Sligte, Edwin, 1F

Terao, Kenji, 0M, 1C

Terasawa, Tsuneo, 1C

Tezuka, Yoshihiro, 0W

Thaler, Thomas, 0I, 1U

Tian, Eric, 23

Töpfer, Susanne, 1S

Torres, J. Andres, 1X

Trautzsch, Thomas, 0B

Tschinkl, Martin, 0I

Tsujita, K., 0C

Tsukamoto, Kiwamu, 0M

Turley, Christina, 13

Vacca, Anthony D., 0Q

Vandenberghe, Geert, 1X

van Haren, Richard, 22

Varghese, Ivin, 25

Verduijn, Erik, 0J

Verma, Piyush, 06, 07, 09, 1Y

Versloot, T. W., 17

Waiblinger, M., 0R

Waller, Laura, 1A

Wandel, Timo, 0Z

Wang, Jingyu, 06, 07

Wang, Lin, 1T

Wang, Yow-Gwo, 0K, 0L

Watanabe, Hidehiro, 1B, 1C, 1H

Watanabe, Kenji, 0M

Watanabe, S., 0P

Wei, Alexander, 07

Weiss, Markus, 0N

Wernecke, Jan, 1D

White, Roy, 25

Wilkinson, William, 07

Wirtz, T., 17

Wojdyla, Antoine, 0K

Wolff, K., 0R

Woo, Sung Ha, 24

Wood, Obert, 0J

Wu, Xin, 05

Wu, Yun, 05

Yaegashi, H., 0C

Yamaguchi, Shinji, 0M

Yang, Chul-Kyu, 1L

Yasaka, Anto, 0F

Yashima, Jun, 0X

Yeung, Michael, 14

Yi, Daniel, 25

Yim, Dong Gyu, 0G, 1E, 1N, 1R, 24

Yoon, Gi Sung, 1K

Yoon, Young-Keun, 0B

Yoshida, I., 0P

Yoshikawa, Ryoji, 0M

Yoshikawa, Shingo, 0F

Yoshikawa, Shoji, 1C

Yoshimori, Tomoaki, 0D

Yu, Bei, 04

Zakhor, Avideh, 1V

Zweber, A., 0P

Conference Committee

Symposium Chair

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

Symposium Co-chair

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

Conference Chairs

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

BACUS Steering Committee

  • Frank Abboud, Intel Corporation (United States)

  • Paul Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Paul C. Allen, Toppan Photomasks, Inc. (United States)

  • Michael D. Archuletta, RAVE LLC (United States)

  • Artur Balasinski, Cypress Semiconductor Corporation (United States)

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Brian Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Glenn R. Dickey, Shin-Etsu MicroSi, Inc. (United States)

  • Brian J. Grenon, RAVE LLC (United States)

  • Thomas B. Faure, IBM Corporation (United States)

  • Jon Haines, Micron Technology Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Mark Jee, HOYA Corporation USA (United States)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Oliver Kienzle, Carl Zeiss SMS GmbH (Germany)

  • Patrick M. Martin, Applied Materials, Inc. (United States)

  • Wilhelm Maurer, Infineon Technologies AG (Germany)

  • M. Warren Montgomery, The Colleges of Nanoscale Science and Engineering at SUNY Polytechnic Institute (United States)

  • Wilbert Odisho, KLA-Tencor, Inc. (United States)

  • Michael T. Postek, National Institutes of Standards and Technology (United States)

  • Abbas Rastegar, SEMATECH North (United States)

  • Emmanuel Rausa, Plasma-Therm LLC (United States)

  • Douglas J. Resnick, Canon Technologies, Inc. (United States)

  • Thomas Struck, Infineon Technologies AG (Germany)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Jacek K. Tyminski, Nikon Precision Inc. (United States)

  • Jîm N. Wiley, ASML US, Inc. (United States)

  • Larry S. Zurbrick, Agilent Technologies, Inc. (United States)

Conference Program Committee

  • Frank Abboud, Intel Corporation (United States)

  • Lucien Bouchard, Photronics, Inc. (United States)

  • Ron R. Bozak, RAVE LLC (United States)

  • William H. Broadbent Jr., KLA-Tencor Corporation (United States)

  • Russell B. Cinque, JEOL USA Inc. (United States)

  • Glenn R. Dickey, Shin-Etsu MicroSi, Inc. (United States)

  • Uwe Dietze, SUSS MicroTec Inc. (United States)

  • Thomas B. Faure, IBM Corporation (United States)

  • Aki Fujimura, D2S, Inc. (United States)

  • Emily E. Gallagher, IBM Corporation (United States)

  • Mark T. Jee, HOYA Corporation USA (United States)

  • Rik Jonckheere, IMEC (Belgium)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Byung-Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Shy-Jay Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

  • M. Warren Montgomery, SUNY College of Nanoscale Science and Engineering (United States)

  • Leo Pang, DS2, Inc. (United States)

  • Linyong Pang, DS2, Inc. (United States)

  • Emmanuel Rausa, Plasma-Therm LLC (United States)

  • Douglas J. Resnick, Canon Technologies, Inc. (United States)

  • Kenichi Saito, NuFlare Technology, Inc. (Japan)

  • Thomas Scherübl, Carl Zeiss SMS GmbH (Germany)

  • Steffen F. Schulze, Mentor Graphics Corporation (United States)

  • Wolfgang Staud, Consultant (United States)

  • Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

  • Banqiu Wu, Applied Materials, Inc. (United States)

Session Chairs

  • 1 Keynote Session

    Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Michael T. Postek, National Institute of Standards and Technology (United States)

  • 2 Invited Session: Joint Session with Photomask and Scanning Microscopies

    Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Michael T. Postek, National Institute of Standards and Technology (United States)

  • 3 Simulation, OPC, and Mask Data Prep I

    Aki Fujimura, D2S, Inc. (United States)

    Banqiu Wu, Applied Materials, Inc. (United States)

  • 4 Simulation, OPC, and Mask Data Prep II

    Peter D. Buck, Mentor Graphics Corporation (United States)

    Jim N. Wiley, ASML US, Inc. (United States)

  • 5 Materials and Process I

    Mark T. Jee, HOYA Corporation USA (United States)

    Emmanuel Rausa, Plasma-Therm LLC (United States)

  • 6 Metrology: Joint Session with Photomask and Scanning Microscopies

    Michael T. Postek, National Institute of Standards and Technology (United States)

    Thomas Scherübl, Carl Zeiss SMS GmbH (Germany)

  • 7 EUV Mask I

    Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • 8 Materials and Process II

    Brian J. Grenon, RAVE LLC (United States)

    Glenn R. Dickey, Shin-Etsu MicroSi, Inc. (United States)

  • 9 Simulation, OPC, and Mask Data Prep III

    Linyong Pang, D2S, Inc. (United States)

    Shy-Jay Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • 10 Mask Patterning

    Kenichi Saito, NuFlare Technology, Inc. (Japan)

    Russell B. Cinque, JEOL USA Inc. (United States)

  • 11 PMJ 2014 Panel Discussion Overview

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • 12 EMLC 2014 Best Paper

    Thomas B. Faure, IBM Corporation (United States)

    Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

  • 13 EUV Mask II

    Thomas B. Faure, IBM Corporation (United States)

    Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

  • 14 EUV Mask III

    Uwe Dietze, SUSS MicroTec Inc. (United States)

    M. Warren Montgomery, SUNY College of Nanoscale Science and Engineering (United States)

Introduction

MONTEREY, California, USA – Highlights at the recent SPIE “Photomask Technology 2014”. The event ran 16-18 September at the Monterey Conference Center and Monterey Marriott, and was sponsored by SPIE.

We concluded Photomask 2014 on Thursday September 18th after three very information packed sessions. We started with keynote speaker Martin van den Brink, President and CTO of ASML, who said that extreme ultraviolet (EUV) source technology is reaching performance levels that enable introduction into production lines in select cases at the 10-nanometer node, and that progress is such that it should soon be ready for full-scale introduction at the 7-nanometer node and ended with EUV mask making advances.

Photomask Technology this year included more than 70 presentations on mask making, EUV, mask data preparation, 9-inch glass, emerging mask technologies, mask business, and related topics. Paul Ackmann (GlobalFoundries) was symposium chair, and Naoya Hayashi (Dai Nippon Printing) was symposium co-chair

Van den Brink’s talk detailed ASML’s steady and substantial progress over the past several months improving the technology for eventual scale-up in semiconductor manufacturing. The challenge, he said, is implementing affordable scaling to create lower cost and improved performance. This can be achieved through holistic lithography immersion driving productivity and yield with multiple patterning, and with EUV technology driving productivity and improving operational cost to enable 2D patterning and simpler processing. He also explained the extendibility of EUV lithography with higher NA tool.

We co-located with the SPIE “Scanning Microscopies” conference run by Michael Postek. This was the first time that the SPIE Scanning Microscopies conference was held in Monterey. This had very good synergy and helped with the overall attendance. The joint sessions provided an interesting insight between metrology and mask making.

Scanning Microscopies brought approximately 50 more presentations to the conference, in areas such as nanomaterials, optical and particle beam, scanned probe, and imaging. Symposium chairs were Michael Postek and Dale Newbury (National Institute of Standards and Technology), Frank Platek (U.S. Food and Drug Administration), and Tim Maugel (University of Maryland, College Park).

Awards were again presented during the Banquet on Wednesday Evening. Jim Wiley, EUV Infrastructure Executive Strategist at ASML, was presented with the 2014 Photomask Lifetime Achievement Award in recognition of contributions to the photomask industry, particularly in the area of photomask defect characterization, printability, and publication. Dan Meisburger of Tec-Start Consulting was awarded the 2014 BACUS Prize in recognition of his work and influence in the development of the high-speed electron beam mask inspection system. Linda He Yi of the Nanoelectronics Lab in the Department of Electrical Engineering at Stanford University was awarded the 2014 BACUS Scholarship.

Thursday continued the panel discussion format chaired by Naoya Hayashi. Hayashi-san pulled together a very good panel on data complexity with industry experts. The panel was focused on the expansion of data for advanced nodes. Panel members from the industry and their messages were as follows:

Mask Complexity: How to solve the issues

Peter Buck (Mentor Graphics): Embracing Mask Complexity

Bala Thumma (Synopsis): We are not at the breaking point yet!

Laurent Tau (TSMC): Fab concerns in Mask Technology

ShusukeYoshitake (NuFlare): Mask Writing Throughput Improvement

Shuichiro Ohara (Nippon Control Systems): Challenges in Mask Data Preparation

Dong-Hoon Chung (Samsung): Issues on Inspection and Metrology

Yalin Xiong (KLA-Tencor): Mask Inspection for 10nm and 7nm Nodes

Daniel Chalom (IMS Nanofabrication AG): How to reduce the mask writing time?

Symposium Chairs

Paul W. Ackmann

Naoya Hayashi

BACUS Steering Committee Members

Frank Abboud

Bryan S. Kasprowicz

00001_psisdg9235_923501_page_19_1.jpg

Members of the Photomask Technology and Advanced Lithography community around the world were saddened to hear of the death of Oliver Kienzle, CEO and Head of the Strategic Business Unit Semiconductor Metrology Systems at Carl Zeiss SMS GmbH.

We lost Oliver shortly after Photomask Technology 2014. Dr. Kienzle died in Germany on 27 September.

He will be greatly missed.

© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9235", Proc. SPIE 9235, Photomask Technology 2014, 923501 (29 October 2014); https://doi.org/10.1117/12.2081279
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Signal processing

Data modeling

Optical proximity correction

Inspection

Image processing

Back to Top