Open Access
12 July 2023 Review of virtual wafer process modeling and metrology for advanced technology development
Michael Hargrove, Sandy Wen, Daebin Yim, Kira Egelhofer Ruegger, Pradeep Nanja, Sumant Sarkar, Brett Lowe, Benjamin Vincent, Joseph Ervin, David M. Fried
Author Affiliations +
Abstract

Semiconductor logic and memory technology development continues to push the limits of process complexity and cost, especially as the industry migrates to the 5 nm node and beyond. Optimization of the process flow and ultimately quantifying its physical and electrical properties are critical steps in yielding mature technology. The standard build, test, and wait model of technology development is a major contributor to time and cost overruns. The growing inability to characterize many of the subtle and complicated features and yield limiting factors of a given technology is another serious constraint. We demonstrate the use of process modeling, virtual wafer fabrication, and virtual metrology in process development of advanced logic and memory. Accurate and predictive process modeling, in combination with virtual metrology enables the characterization of any feature on any given structure, is becoming a key requirement in advanced technology development. Virtual fabrication also accelerates the semiconductor development cycle, by substituting limited and lengthy wafer-based experiments with fast, large-scale virtual design of experiment. Several applications of virtual process modeling and metrology are illustrated in 3D NAND, DRAM, and logic technology. These applications include studies of 3D NAND pillar etch alignment (including tilt, twist, and bowing), DRAM capacitor process window optimization, advanced FinFET logic pitch-walking, and BEOL performance optimization.

1.

Introduction

All future semiconductor technology trends point to increased process and structural complexity,1 which will lead to an increase in cost and development cycle time. Systematic structural defects created by this complexity are now a main factor in limiting successful yield-ramp.24 Due to these trends, successful process integration has become an essential component in advanced technology development.

Technology development is driven by cycles of learning from earlier technology node development efforts. Next node development starts with what was learned at the previous node, and advances that node by developing process enhancements that provide performance improvements at an acceptable yield.5,6 Typically, this learning is achieved by fabricating silicon development wafers using different process parameters, which is then followed by physical and electrical characterization of these wafers. The wafer processing usually takes 2 to 3 months per test batch, with subsequent characterization adding to the learning cycle time. Silicon-based learning and development is not only time-intensive but is also quite expensive due to high fab costs.7

A large portion of silicon-based process development and testing learning can be eliminated using predictive process modeling and virtual metrology.8,9 Traditional TCAD modeling is useful for individual transistors, while ab-initio modeling is useful for novel materials and unit processes.10 However, both approaches lack the necessary speed and large area modeling capability that is needed for predictive process development at advanced nodes. The use of virtual process modeling and metrology is also applicable to disruptive technology changes such as material changes or process flow changes that require fast turnaround understanding of any potential impacts to the process flow due to the disruptive technology change.1113

In this paper, we demonstrate the ability of process modeling, 3D virtual wafer fabrication, and virtual metrology to gain insight into the most advanced technology logic and memory technologies. We start by examining a state-of-the-art 3D NAND structure14 and its evolution from 96 tier stacks to 128 and beyond.15 In our example, we demonstrate the advantages of using process modeling to replicate a 3D NAND HAR etch process. The HAR etch process is used to open tiny circular holes or channels from the top of the memory stack to the bottom, following the stack deposition process.16 Virtual metrology can be used to virtually measure the channel hole CD variation from the top of the stack to the bottom of the stack, including tier alignment and twist and bow metrics.17 These types of measurements are extremely difficult to measure using ordinary physical metrology tools.

Next, we look at an example of DRAM process modeling. DRAM devices have many of the same process complexities and metrology challenges that are encountered in other advanced technology nodes.18 In this example, we examine the DRAM structure and its electrical metrics using virtual metrology, 3D design rule checks (DRC), and electrical characterization.19 Using statistical process analysis, we also execute a design study using a DoE (design of experiment) to quickly and reliably identify the most critical process parameters. The results of our DoE are then used during process window optimization that provides an optimized process flow that maximizes the probability that critical process parameters to identify an optimum process flow that maximizes the probability that critical process parameters will fall within the process yield spec and result in a successful technology. Achieving this insight via virtual wafer fabrication and virtual metrology typically takes days, instead of the weeks to months required by silicon-based wafer development.

Finally, we review an example of how virtual fabrication and process modeling can be used in advanced FinFET logic development.20 Advanced logic technology requires fin definition to be exact, with the fin width and pitch being identical across the entire chip. For many advanced logic technologies, the fin is patterned using a self-aligned quadruple patterning (SAQP) process;21 other patterning processes such as EUV are also possible.22 We demonstrate a model calibration technique for our virtual process model to define the required SAQP process including mandrel CD, spacer thickness, and etch bias and to ensure that our model is predictive of real-world results. The goal of this study was to eliminate pitch-walking, which is when manufacturing processes include a shift in the pitch value from one fin compared with a neighboring fin.23 In our final example,24 we use virtual fabrication to evaluate the performance of interconnects (line and via resistance, capacitance, etc.) across pitches using three different process flows: single damascene (SD),25 dual damascene (DD),26 and semi-damascene [subtractive metal (SM) etch].4,27 The effects of process variation during the three flows are also investigated to determine the relative importance of process flow, variation, and scaling when moving toward aggressive pitch interconnects. The accuracy of these models is contingent upon developing a nominal model that describes the process flow as closely as possible. Calibration of each nominal model to hardware is critically important to be able to predict downstream process impacts due to technology evolution or disruptive process flow changes.

2.

3D NAND

3D flash memory has essentially replaced two-dimensional (2D) flash as the state-of-the-art flash memory technology. Although 2D flash memory is lithography limited due to its traditional horizontal scaling, 3D flash memory scales in the z direction by stacking multiple alternating layers vertically to achieve higher bit-densities.28 These layers can number up to 96 or greater and need to be extremely uniform and defect-free.29 Quantifying their uniformity and thickness is typically achieved with cross-sectional TEM, which is a destructive technique with throughput concerns. Reflectometry and ellipsometry both of which are indirect measurements and not performed on every wafer and can also be used to quantify (and verify) layer uniformity and thickness.30 Once a process is stable, the frequency of these metrology tests usually decreased resulting in missed screening of potentially yield-limiting uniformity issues and defects.

The most important physical feature of 3D NAND devices is the multi-layer architecture with high-aspect-ratio holes, including channel holes and contact holes. As 3D NAND technology matures, process and metrology challenges are shifting from lithography issues to film deposition and high-aspect ratio (HAR) hole etch control. Once stack deposition is complete, the HAR etch becomes a critical process step that requires unique metrology to measure and quantify the hole CD variation from the top of the 3D NAND stack to the bottom of the stack, as well as the hole alignment, twist, and any defect-induced bowing as, shown in Fig. 1.

Fig. 1

Simulated 3D cross-section showing stack deposition and potential HAR etch issues resulting from stack nonuniformity and defects.31

JM3_22_3_031209_f001.png

The components and process step requirements of a typical 3D NAND technology are shown in Fig. 2. For demonstration purposes, the architecture that we use in our study is based on the terabit cell array transistor,32,33 which has been reverse-engineered using the SEMulator3D® virtual fabrication software platform, using only publicly available information. The structural complexity and inherent 3D nature of this structure are ideal to demonstrate the value of a predictive 3D modeling platform. SEMulator3D® can be used to model the processes and generate the 3D structures shown in Fig. 2, and analyze, measure, and identify the source of potential 3D NAND structural problems (like those shown in Fig. 1). Using SEMulator3D®, we can virtually evaluate the effect of process variations during 3D NAND fabrication, particularly during channel etching and contact formation.

Fig. 2

3D NAND memory architecture showing some of the most challenging and critical deposition and etch processes, including the HAR-etched channel holes.31

JM3_22_3_031209_f002.png

The HAR channel etch must be optimized for hole aspect ratios of 30:1 or more. Depending on the etch chemistry, high polymerization can result in sidewall tapering and prevent etch completion to the target depth. A key challenge of channel etching is to achieve appropriate polymerization, so the etch reaches the bottom contact without delivering excessive lateral etch bias at the top of the channel.34,35 Quantifying the extent of hole CD variation and channel taper from the top of the channel to the bottom can be achieved with virtual process modeling and metrology as shown in Fig. 3. In Fig. 3, we model a stack of 32 alternating layers of oxide/nitride (1  μm deep) and calculate the channel area at the top and bottom of the channel as a function of the HAR etch process. We can evaluate the process window for the channel etch by using the result of a 180 run DOE that varies the stack etch taper, stack layer selectivity, and lateral etch bias of the stack. The resulting virtual metrology data highlight that a narrow process window is needed for this HAR channel etch [Fig. 3(a)]. For a nominal etch condition [Fig. 3(b)], the CD variation from the top to the bottom of the channel can readily be seen and numerically quantified. To ensure that the channel etch reaches the bottom contact, the sidewall angle for each stack layer must be >88  deg or the etch does not reach the bottom of the channel [Fig. 3(c)]. Finally, increasing the lateral etch bias in the polymer removal cycle can ensure that the etch reaches the channel bottom, but it comes at the expense of CD expansion at the top of the channel hole [Fig. 3(d)]. By incorporating this type of virtual metrology and statistical process variation, the process boundaries of various channel etch process parameters can be optimized prior to running excessive trial-and-error silicon wafers. This can reduce the number of silicon wafers used during the development process and can accelerate the timeframe of bringing technologies to market. Virtual studies can also be used to evaluate other channel etching schemes, such as those using bowed sidewalls or changing etch chemistry during mid-channel processing.36

Fig. 3

(a) Channel interface area at bottom versus top of channel. A channel interface area = 0 indicates that the etch stopped before reaching the bottom of the stack. (b) Nominal channel etch process showing tapering of channel hole. (c) Incomplete etch due to non-optimized etch taper angle. (d) Non–optimized etch resulting in CD “blowout” near the top of the channel.15

JM3_22_3_031209_f003.png

In addition to having challenging etch process development issues, 3D NAND also uses challenging deposition processes. As previously mentioned, the stack deposition process must provide defect-free and uniform alternating layers, typically comprised of oxide and nitride. The deposition of many (typically 96 or more) alternating layers of oxide/nitride provides new challenges in defect reduction. With multi-layer film deposition, a small defect or particle embedded anywhere in the film stack can deform the layers above it such that the resulting surface topology is no longer flat and uniform. When the embedded defect directly blocks the channel etch (or other HAR etches), it can wipeout an entire cell string [Fig. 4(a)].37 A more insidious failure occurs when the embedded defect is not directly located in the path of a particular channel. In this case, the non-planar surface deformation can affect the etch depth of neighboring channel etches or word-line cuts, resulting in the failure of multiple cell [Fig. 4(b)]. Defects in stack deposition have received significant attention, resulting in defect reduction and improved film deposition processes. However, defect introduction can occur at many other points in the 3D NAND flash process flow and are extremely difficult to quantify with typical metrology techniques. Virtual defect insertion modeling can be used to evaluate the expected evolution of defects through the deposition process, making it significantly more manageable to locate, identify, and quantify these types of defects. For instance, the etch of the alternating film stack (oxide/nitride) after the word-line cut is a process that can create catastrophic failures. This process step can inadvertently insert residual metallic defect particles within the overhanging region of the film stack, resulting in device failure. Figure 5(a) shows a simple 20 nm defect placement within a region of interest in the structure and the subsequent impact on downstream metal-gate film deposition leading to an electrical break in the word-line [Fig. 5(b)]. The ability to virtually model such random defects and to quantify their location and morphology provides greater insight into measured yield impact and failures than is possible using test wafer production and standard metrology.

Fig. 4

(a) A 20  nm diameter defect embedded in the multi-layer film stack (32 stacks) magnified throughout the remainder of the stack deposition. (b) The defect is directly under the channel and blocks the etch, causing a bit-line failure. (c) Nonplanarity caused by a random defect affects “slit etch” later in flow.15

JM3_22_3_031209_f004.png

Fig. 5

(a) A 20  nm defect particle is placed after silicon nitride removal. (b) The subsequent metal-gate film deposition is blocked in the region of the defect, creating an electrical break in the word-line.15

JM3_22_3_031209_f005.png

A final example of the complexities of 3D NAND processing and metrology is shown in the HAR etch of the channel film stack or tiers. In state-of-the-art 3D NAND, the number of tiers or material stacks (oxide/nitride or oxide/poly) exceeds 96 and is increasing with the introduction of every new node containing increased memory bit density.38 As the number of stacks/tiers increases so does the aspect ratio of the required channel hole. The aspect ratio can be as large as 40:1 requiring significant etch process improvement as the number of tiers increase. Often the channel etch is done in two steps: a bottom stack etch followed by an upper stack etch. This two-step etch process can lead to misalignment between the upper and lower film stack. This can result in CD offset between the top and bottom of the stack as well as twist and bowing within the stack. Quantifying the stack misalignment, along with the twisting, and bowing is extremely difficult using standard metrology tools since it is a destructive process. Using 3D process modeling and virtual metrology, these stack features (pre and post etch) can be readily quantified.

Figure 6(a) shows an upper and lower multi-tier stack containing alternating post-etch layers of oxide and nitride. The depiction of the two stacks highlights the potential misalignment when multitier stacks require two etches to successfully etch the entire stack. This misalignment can lead to an offset between the top and bottom CD of the channel. The offset is extremely difficult to quantify with conventional metrology. Using virtual metrology, however, we are able to quantify this offset as shown in Fig. 6(b). Virtual metrology can be used to quantify the offset by creating two virtual masks and measuring their offset via a 3D structure search. This can quantify both the offset and the tilt angle.

Fig. 6

(a) Top and bottom tier misalignment, showing tilt angle and CD. (b) Metrology setup for tilt and top/bottom channel offset measurement.

JM3_22_3_031209_f006.png

Figure 7 shows the measured CD at various locations in the stack as a function of etch tilt angle. With virtual metrology, we can measure the channel CD anywhere within the structure. In Fig. 7, we have highlighted top CD, mid CD, and bottom CD for illustration purposes. Using virtual process model, the etch process can be modified by changing the tilt angle of the etch and any polymer redistribution during etch. These virtual process changes will ultimately change the shape of the channel. The variation of etch tilt angle can also have an impact on channel bow as well. Figure 8(a) shows the variation of tilt angle and its impact on the location and magnitude of the channel bow. The virtual process simulation enables accurate top and bottom etch tilt angle modeling, as well as metrology that can determine the inter-relationship between the critical etch parameters and the location and magnitude of both the channel CD and bow.

Fig. 7

Measured CD at various locations in the stack as a function of etch tilt angle.

JM3_22_3_031209_f007.png

Fig. 8

Virtual metrology results showing the variation of tilt angle and its impact on the location and the magnitude of the channel bow. The tier CD at various locations in the channel versus etch tilt angle.

JM3_22_3_031209_f008.png

3.

DRAM

Advanced DRAM technology has many of the same process complexities and metrology challenges encountered in advanced 3D NAND technology.39 We now examine an example of an advanced DRAM structure and process flow using virtual wafer fabrication. The process flow and structure shown in Fig. 9 was developed using publicly available data and consists of a 28-nm active area (AA) pitch, buried word-line, and 44-nm bit-line pitch. The model has been constructed up to the end of the capacitor contact (CC), to enable electrical analysis and subsequent cell optimization through execution of a DoE and sensitivity analysis. We also review the results of a process window optimization40 that was undertaken to provide optimized POR values for each process parameter. Our goal in this study was to maximize the percentage of critical process parameters that keep us within the desired yield specification.

Fig. 9

Example DRAM process flow from AA through CC.19

JM3_22_3_031209_f009.png

SEMulator3D® allows the addition of two kinds of geometrical metrology. The first is virtual metrology, which allows the measurement and verification of model structures. The second is structure search, which is a 3D DRC that examines the entire 3D model (or a portion of the model) to identify measurement extremes and their values and physical location in the model. Structure search can include measurements such as line widths and contact areas, and count material components. These types of measurement are very useful in determining if the magnitudes and locations of geometric excursions change due to specific process variations. Figure 10(a) shows a 3D cross-section of the DRAM trench and the resulting virtual measurements of top trench CD and other critical structural parameters of the DRAM device, including the AA spacer dimension of the SAQP process. These virtual metrology measurements would normally require destructive measurements to accomplish using real silicon wafers. Figure 10(b) shows the interface area between the CC and the AA. The process model highlights the interface area [Fig. 10(b), area in blue] and identifies it as a device failure point.

Fig. 10

(a) Virtual metrology results showing trench top CD and other critical structural metrics. (b) Results of structure search (3D DRC) showing contact area between CC and AA.19

JM3_22_3_031209_f010.png

Another type of analysis that can be undertaken with our process model is electrical device simulation. Once the process model is created, the modeling software can identify device ports and electrodes in the 3D structure and simulates the electrical characteristics of the DRAM device using physics-based models of temperature, bandgap, and electron/hole mobility. Important device parameters can be automatically extracted, such as threshold voltage (Vth), sub-threshold slope (SS), drain-induced barrier lowering, and ON current (Ion). Furthermore, AC electrical analysis is utilized to extract junction capacitances and the field dependent SRH and band-to-band tunneling currents for the gate-induced drain barrier lowering (GIDL) phenomenon. Using the same software platform, all of this can be accomplished while simulating the effect of 3D process changes on electrical performance. Figure 11 shows the complete modeling flow from 3D structure through port/contact definition and the resulting electrical bias sweeps, including drive current versus gate bias, threshold voltage (Vt), GIDL current, and AC capacitance as a function of gate bias and doping level.

Fig. 11

SEMulator3D® identifies device electrodes in a 3D structure and simulates device characteristics as in TCAD, but without the need for time-consuming TCAD modeling.19

JM3_22_3_031209_f011.png

Being able to measure and quantify important process and device parameters during simulation is critical if you want to accurately correlate simulation results to actual wafer results.12 Once accurate correlation is achieved, we can then establish a nominal process model that can be used to study statistical process parameter variation and its impact on actual cell operation. For example, we use the built-in analytics capability of SEMulator3D® to study the effects of DRAM wordline (WL) process variation on device electrical performance. We performed a 200 run Monte Carlo analysis to study the effect of DRAM word line (WL) variation and its impact on threshold voltage (Vth). In our study, the WL process parameter values are set randomly, using a Gaussian distribution around a mean value and standard deviation. This type of WL variation study, if performed with real wafers, would require hundreds of experiments and take months of process time. Using process simulation, it can be completed in a matter of hours or days.

The results of our DoE are shown in Fig. 12. In the DoE trials, the threshold voltage Vth was varied between 0.48 and 0.5 V. A regression analysis helps identify three parameters that have significant impact on Vth. The three most significant factors identified for further examination are the spacer oxide thickness, WL spacer etch depth, and the gate dielectric thickness (obviously the most significant parameter).

Fig. 12

Results of SEMulator3D® DoE Monte Carlo runs. The analysis identifies the most important process parameters impacting the WL threshold voltage. The analysis also identifies corner cases in the tail of the distribution.19

JM3_22_3_031209_f012.png

As a final step to optimize the DRAM cell, we identified specific electrical characteristics that are required for peak DRAM performance. In our example, we focused on the WL threshold voltage (Vth) and defined the optimal target value as 0.482 V. The DoE experiment identified the most important process parameters that influence the variation in this electrical parameter. The goal now is to perform process window optimization that identifies the optimal values for each of these important process parameters. The results of the process window optimization will be a set of process parameter values that deliver optimized electrical characteristics for maximum yield and cell performance. The SEMulator3D® process window optimization capability can provide a prediction of maximum yield for a given set of processes under consideration and redefine nominal process conditions and variation control requirements to achieve acceptable yield.

In our example, the targeted Vth is set to 0.482 V with a success criteria of +5  mV/5  mV. The three critical process parameters determined from the DoE (Fig. 12) are then set to their nominal values. The standard deviation of the three process parameters are shown in Table 1. We then modify the nominal values of the three important process parameters and review the effect of these changes on % in-spec yield for Vth. The result of our process window optimization study is an increase in the in-spec yield of achieving the targeted Vth from 34.7% to 50%. Subsequent optimization of the standard deviation of the most important of the three process parameters, namely, the high-K thickness, results in an even more dramatic increase of the in-spec yield from 50% to 89.3% (see Table 2). These results demonstrate that a dramatic improvement in overall yield can be attained by controlling the mean and standard deviation of specific process parameters and related equipment settings. This type of analysis also provides process integration engineers with valuable insight and direction in establishing an optimal process recipe. This insight and direction can be obtained by only hours of simulation, and without the months of wafer processing that is normally required. Using process modeling, an optimal set of process parameters can be established quickly and early in development, establishing a direction for subsequent wafer processing and testing.

Table 1

New mean values identified resulting in improved % in-spec yield.17

ParametersInitialAfter process window optimization
Mean (nm)Std. dev. (nm)Mean (nm)Std. dev. (nm)
Spacer Ox thickness21.4390.5021.8700.50
Spacer Ox etch27.7011.0026.7401.00
High-k thickness1.9310.201.8320.20
% in-sec34.750

Table 2

Reoptimized standard deviation of high-k thickness variation resulting in improved % in-spec yield to 89.3%.17

ParametersInitialAfter process window optimization
Mean (nm)Std. dev. (nm)Mean (nm)Std. dev. (nm)
Spacer Ox thickness21.4390.5021.8700.50
Spacer Ox etch27.7011.0026.7401.00
High-k thickness1.8320.201.8320.13
% in-spec5089.3

4.

Logic Technology

Advanced logic technology has evolved from planar structures to 3D structures, similar to memory technology.41,42 The core components for any logic technology are the front-end-of-line (FEOL) which defines the device structure and the back-end-of-line (BEOL) which includes the wiring levels which connect numerous devices together to form circuits. The middle-of-line (MOL) which focuses on contacts between FEOL and BEOL is equally important but we do not discuss MOL in this paper.

4.1.

Fin Pitch-Walking

In our first example of using virtual process modeling and virtual metrology, we focus on the process technology that defines the FinFET fin device structure, namely, SAQP.43 The SAQP process flow is shown in Fig. 13. Mandrel lines (typically carbon) are patterned and an oxide spacer1 is deposited and etched, followed by mandrel removal via chemical etching. The remaining spacer acts like a hardmask for the dry etch of the underlying amorphous silicon (a-Si) layer. A second spacer is then deposited and etched, and the pattern is transferred into the silicon substrate quadrupling the original pitch of mandrels.

Fig. 13

Process flow for SAQP fin patterning.23

JM3_22_3_031209_f013.png

The goal is to define a uniform pattern of fins, separated by shallow trench isolation, as shown in profile in Fig. 14. These fins ultimately define the FinFET structure. It is important that the fins have similar widths and are equally separated, so the device characteristics are uniform across the wafer. This occurs when the fin spacing parameters α, β, and γ are equal across the device (Fig. 14). When the SAQP process is not optimized, or when α, β, and γ are not equal, the pattern of fins can suffer from “pitch-walking.”44 The extent of pitch-walking is defined by MAX(α,β,γ)MIN(α,β,γ). The result of fin pitch-walking is shown in Fig. 15, where the variation in fin height between the fins is readily apparent. This difference in fin height results in nonuniform device electrical characteristics.

Fig. 14

Cross-section of (a) spacer1 etch, (b) spacer2 etch, and (c) fin etch also defining the spaces between fins.31 The STI is not shown here.23

JM3_22_3_031209_f014.png

Fig. 15

(a) Top view of fin area postpatterning showing min and max spacing between fins. (b) TEM showing result of fin pitch-walking where fin height and spacing vary causing non-uniform device electrical characteristics.23

JM3_22_3_031209_f015.png

Quantifying the extent of pitch-walking and determining the critical process parameters that control it is extremely important and typically requires significant wafer-based testing. With virtual process modeling and metrology, the key process parameters that control the fin width and spacing, namely, the mandrel CD, the deposited spacer oxide thickness, and the spacer etch bias can be varied and the effect of this variation can be quantified. The impact of these key process parameters on pitch-walk can be systematically characterized by running a full-factorial DoE and determining their optimal values. As shown in Fig. 15, SEMulator3D® can display a 2D (top) view of the simulated silicon surface, and virtual metrology can be used to predict the min/max fin spacing CD of this simulated surface. This enables a reliable measurement of fin pitch-walk for any process variation simulated.

Virtual process modeling and metrology can provide a comprehensive assessment of how SAQP process parameters changes impact fin pitch-walking without ever having to process and characterize a single wafer. The results of a full-factorial process model DoE can be used to optimize the spacer1 and spacer2 thickness for a fixed mandrel (core) CD as shown in Fig. 16. In Fig. 16, the spacer1 and spacer2 thicknesses are varied around their nominal value by 25% and the mandrel line CD is varied by 2  nm from its nominal value. The amount of pitch-walk is shown in Fig. 16 as a function of spacer1 and spacer2 thicknesses. For a mandrel CD of 33 nm, the pitch-walk is at a minimum for spacer1 and spacer2 thicknesses of 15.0 and 9.25 nm, respectively (black triangle in Fig. 16). For a spacer thickness variation of 1 nm around its nominal value, the pitch-walk remains reasonably small. At a larger spacer thickness variation, the pitch-walk rapidly increases to >14  nm (corner cases). This amount of pitch-walk would result in no spacing between the fins.

Fig. 16

Pitch-walk calculated from analytical model as a function of spacer1 and spacer2 thickness for various mandrel (core) CD. Nominal starting process condition is shown by the yellow star. (Taken from Ref. 23).

JM3_22_3_031209_f016.png

The DoE results also display the impact of mandrel CD on spacer thickness variation. When the mandrel CD is reduced from 33 to 31 nm, the pitch-walk dependency on spacer thicknesses is unchanged, but the optimum point is shifted. The optimal spacer1 thickness now increases from 15.5 to 17 nm and the spacer2 thickness is reduced by 1  nm. For a mandrel CD of 29 nm, the minimum pitch-walk is obtained for even larger spacer1 and smaller spacer2 thicknesses. The results of this virtual metrology and statistical analysis indicate that in this range of mandrel CD values, the minimum pitch-walk is 2  nm and that the spacer process window must be well-controlled to avoid pitch-walking. The merits of virtual process modeling coupled with virtual metrology and statistical process variation are clearly demonstrated in this fin pitch-walking analysis. Further optimization of the SAQP process parameters, such as the mandrel CD taper angle, can also be performed to minimize fin pitch-walk.23 It is important to note that this type of process optimization requires no wafer starts and can be performed in days as opposed to months of processing time and cost required using wafer-based testing.

4.2.

BEOL Optimization

As logic technology has scaled to smaller dimensions, interconnects have become the dominant source of delay in integrated circuits, surpassing even the transistor itself.45 There is significant ongoing work focused on mitigating the impact of interconnects on delay, including the exploration of alternate materials and integration schemes. We used SEMulator3D® to model the interconnect performance of three alternate integration schemes and their scaling behavior.24 The virtual process models for the three integration flows were able to accurately capture the correct geometric and spatially dependent material properties. The objective was to identify which of the three integration schemes enabled the best interconnect performance at future smaller pitches. The three integration flows were:

  • SD, where the via is etched and filled in a separate interlayer dielectric (ILD) prior to trench ILD deposition, etch, and metal fill.

  • A hybrid-metallization scheme that uses the standard DD patterning approach including a self-aligned via for perfect via alignment every time. The trench is filled with a traditional barrier, liner, and Cu.

  • SM scheme, also called semi-damascene, where a blanket metal film is deposited, and lines are formed therein. This allows for lower Cu resistivity through the formation of significantly larger grains in the annealed blanket film than in confined trenches, thus reducing grain-boundary scattering contributions to the resistivity.8 However, the drawbacks of this approach are that Cu is hard to etch (used here instead of Ru for comparison to the other flows) and a blanket film adds complication to alignment steps because it covers the entire surface of the wafer and therefore any alignment markers that may be in use.

The results of this work identified the advantages of each integration flow from an RC and via resistance point of view. The model also included secondary effects of BEOL patterning such as line edge roughness (LER)4648 and considered the impact of EUV lithography and EUV + self-aligned double patterning (SADP) required to achieve sub-30 nm pitch. From these results, all three integration approaches were shown to be relatively equivalent. However, utilizing the virtual metrology capabilities of the software, it was also shown that shorting between metal lines can develop at the smallest dimensions. Figure 17 displays a top-down view illustrating an example of shorting between two M2 combs in the SM scheme when LER is present. We also plotted the number of runs where shorting is observed between the M2 combs for all three integration approaches (right). It was demonstrated that the SM flow has significantly higher rates of shorting than either damascene flow, particularly in the presence of LER. This is likely due to the inverse line profile of the SM approach compared with either damascene flow. Both damascene processes result in a more uniform metal line width from the top to the bottom of the line, whereas the SM process results in a more tapered line profile at the bottom of the line which can restrict the metal etch process at small pitches and result in residual metal shorting between lines. This is another excellent example of using virtual metrology to quantify advantages and disadvantages of specific metallization schemes without having to fabricate a single wafer.

Fig. 17

(a) Shorting in the SM M2 structure when LER is present and (b) number of DOE runs having shorts between M2 combs across pitches and with versus without LER. (From Ref. 24).

JM3_22_3_031209_f017.png

5.

Conclusions

The challenges of developing advanced semiconductor technology increase with every node. Both memory and logic technology are adversely affected by both process complexity and decreasing dimensional scale of each advancing node. The standard model of fabricating, waiting, and then testing chips is an extremely time-consuming and costly endeavor. In this paper, we provide an alternative to this standard model by demonstrating the robust capabilities of virtual process modeling and virtual metrology. The merits of this approach are shown in the complexity of etching and characterizing HAR 3D NAND channels, including the quantification of tilt, twist, and bowing, and to the control of advanced logic FinFET pitch-walking. We demonstrated the ability of the SEMulator3D® virtual wafer modeling platform to create highly accurate and predictive process models and showed how to use these models to quantify all necessary geometrical and electrical metrology, including statistical variation. With this capability, technology developers can predict the impact of process variation on yield and can gain insight into the specific process steps that improve or degrade performance.

References

1. 

Y.-J. Mii, “Semiconductor innovations, from device to system,” in IEEE Symp. VLSI Technol. and Circuits (VLSI Technol. and Circuits), 276 –281 (2022). https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830423 Google Scholar

2. 

C. Auth, “22-nm fully-depleted tri-gate CMOS transistors,” in Proc. IEEE Custom Integr. Circuits Conf., 1 –4 (2012). Google Scholar

3. 

D. James, “Intel ivy bridge unveiled 2014; The first commercial tri-gate, high-k, metal-gate CPU,” in Proc. IEEE Custom Integr. Circuits Conf., 1 –4 (2012). Google Scholar

4. 

E. Sperling, “Variation’s long, twisty tail worsens at 7/5nm,” Semicond. Eng., https://semiengineering.com/variations-long-twisty-tail/ (September 2018). Google Scholar

5. 

Q. Wu et al., “The law that guides the development of photolithography technology and the methodology in the design of photolithographic process,” in China Semicond. Technol. Int. Conf. (CSTIC), 1 –6 (2020). https://doi.org/10.1109/CSTIC49141.2020.9282436 Google Scholar

6. 

H. Jin, “The history, current applications and future of integrated circuit,” Highlights Sci. Eng. Technol., 31 232 –238 https://doi.org/10.54097/hset.v31i.5146 (2023). Google Scholar

7. 

N. Geng and Z. Jiang, “A review on strategic capacity planning for the semiconductor manufacturing industry,” Int. J. Prod. Res., 47 (13), 3639 –3655 https://doi.org/10.1080/00207540701871051 IJPRB8 0020-7543 (2009). Google Scholar

8. 

M. E. Law, “Process modeling for future technologies,” IBM J. Res. Dev., 46 (2.3), 339 –346 https://doi.org/10.1147/rd.462.0339 IBMJAE 0018-8646 (2002). Google Scholar

9. 

J. A. Mullins, W. J. Campbell and A. D. Stock, “Evaluation of model predictive control in run-to-run processing in semiconductor manufacturing,” Proc. SPIE, 3213 https://doi.org/10.1117/12.284636 PSISDG 0277-786X (1997). Google Scholar

10. 

T. Ma et al., “TCAD: present state and future challenges,” in Int. Electron. Devices Meet., 15.3.1 –15.3.4 (2010). https://doi.org/10.1109/IEDM.2010.5703367 Google Scholar

11. 

W. F. Clark et al., “A million wafer, virtual fabrication approach to determine process capability requirements for an industry-standard 5 nm BEOL two-level metal flow,” in Int. Conf. Simul. of Semicond. Processes and Devices (SISPAD), 43 –46 (2016). https://doi.org/10.1109/SISPAD.2016.7605144 Google Scholar

12. 

B. Vincent et al., “Process variation analysis of device performance using virtual fabrication: methodology demonstrated on a CMOS 14-nm FinFET vehicle,” IEEE Trans. Electron. Devices, 67 (12), 5374 –5380 https://doi.org/10.1109/TED.2020.3027528 IETDAI 0018-9383 (2020). Google Scholar

13. 

B. Vincent et al., “A benchmark study of complementary-field effect transistor (CFET) process integration options: comparing bulk vs. SOI vs. DSOI starting substrates,” in IEEE SOI-3D-Subthreshold Microelectron. Technol. Unified Conf. (S3S), 1 –2 (2019). https://doi.org/10.1109/S3S46989.2019.9320683 Google Scholar

14. 

R. Micheloni, S. Aritome and L. Crippa, “Array architectures for 3-D NAND flash memories,” Proc. IEEE, 105 (9), 1634 –1649 https://doi.org/10.1109/JPROC.2017.2697000 IEEPAD 0018-9219 (2017). Google Scholar

15. 

S. Wen, “White paper: ‘3D NAND flash processing’,” Google Scholar

16. 

J. He et al., “Optimization of tilted profile in ultra-high aspect ratio etch process for 3D NAND flash memory,” in 5th IEEE Electron Devices Technol. & Manuf. Conf. (EDTM), 1 –3 (2021). https://doi.org/10.1109/EDTM50988.2021.9420902 Google Scholar

17. 

Q. Peng et al., “Semicon China 2023,” Google Scholar

18. 

M. H. R. Ansari et al., “Double-gate junctionless 1T DRAM with physical barriers for retention improvement,” IEEE Trans. Electron. Devices, 67 (4), 1471 –1479 https://doi.org/10.1109/TED.2020.2976638 IETDAI 0018-9383 (2020). Google Scholar

19. 

D. Yim, J. Ervin and B. Egan, “White paper: ‘process window optimization of DRAM by virtual fabrication’,” Google Scholar

20. 

W. P. Maszara and M.-R. Lin, “FinFETs: technology and circuit design challenges,” in Proc. Eur. Solid-State Device Res. Conf. (ESSDERC), 3 –8 (2013). https://doi.org/10.1109/ESSDERC.2013.6818808 Google Scholar

21. 

C. Auth et al., “A 10nm high performance and low-power CMOS technology featuring 3rd generation FinFET transistors, self-aligned quad patterning, contact over active gate and cobalt local interconnects,” in IEEE Int. Electron Devices Meet. (IEDM), 29.1.1 –29.1.4 (2017). https://doi.org/10.1109/IEDM.2017.8268472 Google Scholar

22. 

N. Felix et al., “EUV patterning successes and frontiers,” Proc. SPIE, 9776 97761O https://doi.org/10.1117/12.2219894 PSISDG 0277-786X (2016). Google Scholar

23. 

S. Baudot et al., “N7 FinFET self-aligned quadruple patterning modeling,” in SISPAD Proc., 344 (2018). https://doi.org/10.1109/SISPAD.2018.8551646 Google Scholar

24. 

K. Egelhofer Ruegger, P. Nanja and M. Hargrove, “Impacts of process flow, scaling, and variability on interconnect performance,” Proc. SPIE, 12055 120550L https://doi.org/10.1117/12.2612591 PSISDG 0277-786X (2022). Google Scholar

25. 

J. Versluijs et al., “Spacer defined double patterning for (sub-)20nm half pitch single damascene structures,” Proc. SPIE, 7973 79731R https://doi.org/10.1117/12.881600 PSISDG 0277-786X (2011). Google Scholar

26. 

B. Briggs et al., “N5 technology node dual-damascene interconnects enabled using multi patterning,” in IEEE Int. Interconnect Technol. Conf. (IITC), 1 –3 (2017). https://doi.org/10.1109/IITC-AMC.2017.7968983 Google Scholar

27. 

Z. Tőkei et al., “Inflection points in interconnect research and trends for 2nm and beyond in order to solve the RC bottleneck,” in IEEE Int. Electron Devices Meet. (IEDM), 32.2.1 –32.2.4 (2020). https://doi.org/10.1109/IEDM13553.2020.9371903 Google Scholar

28. 

H. Kim et al., “Evolution of NAND flash memory: from 2D to 3D as a storage market leader,” in IEEE Int. Memory Workshop (IMW), 1 –4 (2017). https://doi.org/10.1109/IMW.2017.7939081 Google Scholar

29. 

H. Maejima et al., “A 512Gb 3b/Cell 3D flash memory on a 96-word-line-layer technology,” in IEEE Int. Solid - State Circuits Conf.- (ISSCC), 336 –338 (2018). https://doi.org/10.1109/ISSCC.2018.8310321 Google Scholar

30. 

H. Kwak et al., “Non-destructive thickness characterisation of 3D multilayer semiconductor devices using optical spectral measurements and machine learning,” Light: Adv. Manuf., 2 1 https://doi.org/10.37188/lam.2021.001 (2021). Google Scholar

31. 

H. Singh, “Overcoming challenges in 3D NAND volume manufacturing,” Solid State Technol., 60 (5), 18 –21 SSTEAP 0038-111X (2017). Google Scholar

32. 

J. Jang et al., “Vertical cell array using TCAT (terabit cell array transistor) technology for ultra-high-density NAND flash memory,” in Symp. VLSI Technol., 192 –193 (2009). Google Scholar

33. 

G. H. Lee et al., “Architecture and process integration overview of 3D NAND flash technologies,” J. Appl. Sci., 11 (15), 6703 https://doi.org/10.3390/app11156703 (2021). Google Scholar

34. 

T. Reiter et al., “Impact of high-aspect-ratio etching damage on selective epitaxial silicon growth in 3D NAND flash memory,” in Joint Int. EUROSOI Workshop and Int. Conf. Ultimate Integr. on Silicon (EuroSOI-ULIS), (2021). https://doi.org/10.1109/EuroSOI-ULIS53016.2021.9560693 Google Scholar

35. 

Y.-A. Chung et al., “Study of plasma arcing mechanism in high aspect ratio slit trench etching,” in 30th Annu. SEMI Adv. Semicond. Manuf. Conf. (ASMC), (2019). https://doi.org/10.1109/ASMC.2019.8791770 Google Scholar

36. 

Y.-C. Chang et al., “Carbon plug application in 3D NAND fabrication,” in IEEE Int. Interconnect Technol. Conf. (IITC), (2022). https://doi.org/10.1109/IITC52079.2022.9881319 Google Scholar

37. 

C. H. Wu et al., “3D NAND vertical channel defect inspection and classification solution on a DL-based e-beam system: DI: defect inspection and reduction,” in 33rd Annu. SEMI Adv. Semicond. Manuf. Conf. (ASMC), 1 –4 (2022). https://doi.org/10.1109/ASMC54647.2022.9792511 Google Scholar

38. 

R. Meyer, Y. Fukuzumi and Y. Dong, “3D NAND scaling in the next decade,” in Int. Electron. Devices Meet. (IEDM), 26.1.1 –26.1.4 (2022). https://doi.org/10.1109/IEDM45625.2022.10019570 Google Scholar

39. 

A. Spessot and H. Oh, “1T-1C dynamic random access memory status, challenges, and prospects,” IEEE Trans. Electron Devices, 67 (4), 1382 –1393 https://doi.org/10.1109/TED.2020.2963911 IETDAI 0018-9383 (2020). Google Scholar

40. 

Q. Wang et al., “Pathfinding by process window modeling: advanced dram capacitor patterning process window evaluation using virtual fabrication,” in China Semicond. Technol. Int. Conf. (CSTIC), 1 –4 (2022). https://doi.org/10.1109/CSTIC55103.2022.9856740 Google Scholar

41. 

M. T. Bohr, “Logic technology scaling to continue Moore’s law,” in IEEE 2nd Electron Devices Technol. and Manuf. Conf. (EDTM), 1 –3 (2018). https://doi.org/10.1109/EDTM.2018.8421433 Google Scholar

42. 

D. Burg et al., “Moore’s law revisited through Intel chip density,” PLoS One, 16 (8), e0256245 https://doi.org/10.1371/journal.pone.0256245 POLNCL 1932-6203 (2021). Google Scholar

43. 

Y. Yang et al., “5 NM FIN SAQP process development and key process challenge discussion,” in China Semicond. Technol. Int. Conf. (CSTIC), 1 –3 (2020). https://doi.org/10.1109/CSTIC49141.2020.9282464 Google Scholar

44. 

R. Chao et al., “Novel in-line metrology methods for fin pitch walking monitoring in 14nm node and beyond,” Proc. SPIE, 9050 90501E https://doi.org/10.1117/12.2057402 PSISDG 0277-786X (2014). Google Scholar

45. 

J. Qian, S. Pullela and L. Pillage, “Modeling the “effective capacitance” for the RC interconnect of CMOS gates,” IEEE Trans. Comput.-Aid. Des. Integr. Circuits Syst., 13 (12), 1526 –1535 https://doi.org/10.1109/43.331409 (1994). Google Scholar

46. 

E. Liu et al., “Line edge roughness (LER) reduction strategies for EUV self-aligned double patterning (SADP),” Proc. SPIE, 11615 1161506 https://doi.org/10.1117/12.2582556 PSISDG 0277-786X (2021). Google Scholar

47. 

A. Raley et al., “Self-aligned blocking integration demonstration for critical sub-30-nm pitch Mx level patterning with EUV self-aligned double patterning,” J. Micro/Nanolith. MEMS MOEMS, 18 (1), 011002 https://doi.org/10.1117/1.JMM.18.1.011002 (2019). Google Scholar

48. 

Z. Chen et al., “Line edge roughness reduction for 7nm metals,” Proc. SPIE, 10587 1058708 https://doi.org/10.1117/12.2297424 PSISDG 0277-786X (2018). Google Scholar

Biography

Michael Hargrove is a semiconductor process and integration engineer at Coventor, a Lam Research Company. He began his career at IBM, where he worked on advanced CMOS technology development. He then joined Epson Research and Development, working on high-speed/high-frequency device design and characterization. He later joined AMD, where he worked on high-k/metal gate technology. He received his PhD from the Thayer School of Engineering at Dartmouth College, in Hanover, New Hampshire.

Sandy Wen is a senior staff engineer for semiconductor process integration modeling at Coventor, a Lam Research Company. Previously, she worked at Applied Materials in the etch business group in chamber engineering and yield enhancement solutions. She received her MS degree in EE from UCLA and her BS degree in EECS from UC Berkeley.

Daebin Yim is a senior manager of the semiconductor process and integration team at Coventor, a Lam Research Company. He has 20+ years of experience in semiconductor process and device modeling and engineering and currently works for Coventor in Japan and Korea. He is responsible for semiconductor process development and applications engineering projects at major semiconductor manufacturing customer sites (from memory, CIS, and logic/foundry).

Kira Egelhofer Ruegger received her PhD in chemistry from the University of Oregon where she studied charge transfer processes at modified semiconductor/metal interfaces. She joined Lam as a software applications engineer and is now product owner for SEMulator3D.

Pradeep Nanja received his MS and BS degrees in materials science and engineering from UCLA. He has worked at GlobalFoundries where he learned and incorporated SEMulator3D to work on the 7 nm process flow for 2.5 years. He later joined Lam Research in October 2018 as part of the Lam Research/Coventor Semiconductor Process and Integration team.

Sumant Sarkar is a semiconductor process and integration engineer at Lam Research. He has 18 years of experience in the software and analytics industry. At Lam, he is responsible for advanced nanotechnology development and process modeling projects with key customers. He received his bachelor’s degree in EE from IIT Kharagpur, his master’s degree in nanotechnology from IISc Bengaluru, and his PhD in physics and materials science from Northern Arizona University.

Brett Lowe is a member of the semiconductor process and integration team at Coventor, a Lam Research Company. He has worked in semiconductor technology development for more than 35 years. He has been a process development and integration engineer with Philips Semiconductors, Zilog, and Micron Technology. He has experience as a process development engineer in photolithography, dry etch, CVD, and wet process.

Benjamin Vincent is a senior manager of the global semiconductor process and integration team at Coventor, a Lam Research company. He has 15+ years of experience in semiconductor process engineering, including positions at imec (Belgium) as an epitaxy scientist in advanced logic, and at Intel in Santa Clara, California, as a process/design integration engineer and manager. He joined Coventor in July 2017 and is currently leading the global application team for Coventor’s SEMulator3D® solution.

Joseph Ervin is a senior director semiconductor software products at Lam Research. Prior he worked at IBM on semiconductor device and integration technology development. He received his PhD in device physics from Arizona State University.

David Fried is a corporate vice president of Computational Products at Lam Research. He is responsible for the company’s strategic direction and implementation of software products and algorithms for predictive process modeling and virtual process development. He served as a CTO at Coventor for 5 years and had a notable 14-year career at IBM prior to joining Lam Research. He received his BS, MEng, MS, and PhD degrees from Cornell University.

© 2023 Society of Photo-Optical Instrumentation Engineers (SPIE)
Michael Hargrove, Sandy Wen, Daebin Yim, Kira Egelhofer Ruegger, Pradeep Nanja, Sumant Sarkar, Brett Lowe, Benjamin Vincent, Joseph Ervin, and David M. Fried "Review of virtual wafer process modeling and metrology for advanced technology development," Journal of Micro/Nanopatterning, Materials, and Metrology 22(3), 031209 (12 July 2023). https://doi.org/10.1117/1.JMM.22.3.031209
Received: 7 November 2022; Accepted: 1 May 2023; Published: 12 July 2023
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Metrology

Process modeling

Semiconducting wafers

3D modeling

3D metrology

Critical dimension metrology

Back to Top