Paper
23 August 2021 Actinic patterned mask inspection for EUV lithography
Hiroki Miyai, Tsunehito Kohyama, Toshiyuki Todoroki
Author Affiliations +
Abstract
EUV lithography enters the high-volume manufacturing stage, and the semiconductor industry considers a lithography-wavelength- matched actinic patterned mask inspection (APMI) tool to be a crucial infrastructure for EUV mask qualification. ACTISTM, the world’s first high-sensitivity actinic patterned mask inspection system, was released in 2019. ACTIS detects lithographic impact defects that cannot be seen with the existing DUV inspection tools. The actual results of production mask inspection show that only an actinic EUV inspection system can visualize small surface topography and phase changes that propagate through multilayer stacks. In this paper, we present the progress of ACTIS inspection technology, defect sensitivity, die-to-database inspection and through pellicle inspection. For technology nodes beyond N3, a high-NA EUV anamorphic lithography system will be used. The mask structure in the high-NA era will be different from the current configuration. For inspection tool design, it is necessary to adopt a different magnification of mask-to-wafer projection in the vertical and horizontal directions. ACTIS has the extendibility to a high-NA system since its projection NA area has room for extension in one direction. The high-NA EUV inspection tool will be discussed in this paper as well.
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroki Miyai, Tsunehito Kohyama, and Toshiyuki Todoroki "Actinic patterned mask inspection for EUV lithography", Proc. SPIE 11908, Photomask Japan 2021: XXVII Symposium on Photomask and Next-Generation Lithography Mask Technology, 119080H (23 August 2021); https://doi.org/10.1117/12.2601872
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Inspection

Photomasks

Extreme ultraviolet

Pellicles

Extreme ultraviolet lithography

Semiconducting wafers

Printing

RELATED CONTENT

Towards reduced impact of EUV mask defectivity on wafer
Proceedings of SPIE (July 28 2014)
Actinic patterned mask inspection for EUV lithography
Proceedings of SPIE (September 15 2022)
Mask inspection technologies for expanding EUV lithography
Proceedings of SPIE (December 01 2022)
EUV mask infrastructure readiness and gaps for TD and HVM
Proceedings of SPIE (November 11 2015)

Back to Top