Presentation + Paper
30 April 2023 Negative-tone resists for EUV lithography
Masato Suzuki, Youngjin Kim, Youngjun Her, Hengpeng Wu, Kun Si, Mark Marcello Maturi, Philipp Hans Fackler, Mansour Moinpour, Ralph Dammel, Yi Cao
Author Affiliations +
Abstract
The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Masato Suzuki, Youngjin Kim, Youngjun Her, Hengpeng Wu, Kun Si, Mark Marcello Maturi, Philipp Hans Fackler, Mansour Moinpour, Ralph Dammel, and Yi Cao "Negative-tone resists for EUV lithography", Proc. SPIE 12498, Advances in Patterning Materials and Processes XL, 1249813 (30 April 2023); https://doi.org/10.1117/12.2659716
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photoresist processing

Optical lithography

Line width roughness

Etching

Lithography

Photoresist materials

Back to Top