Presentation + Paper
21 November 2023 Actinic pattern mask inspection for high-NA EUV lithography
Toshiyuki Todoroki, Ko Gondaira, Arosha Goonesekera, Hiroki Miyai
Author Affiliations +
Abstract
Lasertec released the actinic patterned mask inspection (APMI) system ACTIS in 2019 and has since been providing it as an actinic inspection solution for EUV mask inspection. ACTIS performs high-resolution, high-throughput inspection of EUV photomasks. It detects all types of mask defects making lithographic impact because it uses the wavelength of light used in EUV lithography as its light source. While actinic inspection is typically known for its capability to detect phase defects, it is also indispensable for detecting phase shift defects on EUV PSM. ACTIS performs both die-to-die (D2D) and die-to-database (DDB) inspections and can inspect all types of EUV masks including multi-die masks and single-die masks. High-NA lithography is expected to be used for the EUV process at the technology nodes of N2 and beyond. The nextgeneration ACTIS has an objective mirror with a higher NA. This makes it possible to have different resolution characteristics in the X and Y directions, enabling it to meet the sensitivity required to detect defects in the anamorphic patterns used for high-NA EUV lithography. In addition, as design nodes become smaller, curvilinear masks will be adopted to improve resolution characteristics on wafers, which will require handling a large amount of design data per mask. For DDB inspection, which generates reference images using sophisticated, high-speed computer processing, the inspection of curvilinear masks is a major challenge. In DDB inspection, curve masks generate large amounts of data because complex curve shapes are approximated using polygons with a large number of vertices. It needs more computing resources and leads to a longer processing time. The reference images generated for inspection must be more intricate. APMI is necessary for pattern mask qualification of EUV masks with pellicles. However, the high sensitivity inspection of masks with EUV pellicles was prevented by the incident power limitation by heat load on the pellicle. Therefore, we have developed a new EUV light source that can minimize the thermal load. This paper describes the development results of the next-generation ACTIS for high-NA EUV lithography, the DDB inspection capability of ACTIS for curvilinear masks, as well as the requirements for APMI light sources, which differ from those of EUV scanner light sources, and the development result of Lasertec's EUV light source "URASHIMA".
Conference Presentation
(2023) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Toshiyuki Todoroki, Ko Gondaira, Arosha Goonesekera, and Hiroki Miyai "Actinic pattern mask inspection for high-NA EUV lithography", Proc. SPIE 12751, Photomask Technology 2023, 1275102 (21 November 2023); https://doi.org/10.1117/12.2686350
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Inspection

Extreme ultraviolet lithography

Extreme ultraviolet

Actinic inspection

Defect detection

Laser development

Lithography

Back to Top