Paper
5 October 2023 Actinic EUV mask qualification for next generation lithography
Author Affiliations +
Proceedings Volume 12802, 38th European Mask and Lithography Conference (EMLC 2023); 128020L (2023) https://doi.org/10.1117/12.2681647
Event: 38th European Mask and Lithography Conference, 2023, Dresden, Germany
Abstract
During the last decade, the introduction of EUV lithography in high-volume chip manufacturing has been accompanied by the development of technological prerequisites for a future support of the node scaling roadmap. As core element, the next generation EUV scanner with an increased NA of 0.55 will be implemented into wafer fabs within the upcoming few years. In addition to its enhanced resolution, the High-NA exposure tool improves image contrast, and consequently reduces local CDU and defect printing on wafer. To take full advantage of this next leap in lithography, the whole infrastructure including EUV photomask technologies and metrology must keep pace with the scanner progress. In this context, actinic EUV mask measurement represents a unique and variously usable way for the qualification of the mask printing performance under scanner-equivalent conditions. The aerial image metrology is targeted to match the corresponding scanner aerial image by means of the emulation of imaging-relevant scanner properties including wavelength, mask-side NA, through-slit chief ray angle, illumination schemes, and aberration level. To qualify High-NA masks of the anamorphic scanner, a methodology was developed that allows the simultaneous measurement of both NA=0.33 and NA=0.55 reticles based on one isomorphic optical projection optics design. Here, we describe the challenges and corresponding solutions combined with two intrinsically diverse emulation types, NA=0.33 isomorphic and NA=0.55 anamorphic, in one single metrology. Special attention is paid to the emulation of the elliptical scanner NA at reticle, the contrast impact due to vector-effects in High-NA scanner imaging, wafer defocus of an anamorphic system for focus-dose process window determination, the pupil obscuration of the High-NA scanner projection optics, and the scanner facetted illumination by means of physical free-form blades, and by a completely digital solution.
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Matthias Roesch, Renzo Capelli, Lukas Fischer, Klaus Gwosch, Grizelda Kersteen, Carolin Mueller, Robert Nicholls, Andreas Verch, and Alexander Winkler "Actinic EUV mask qualification for next generation lithography", Proc. SPIE 12802, 38th European Mask and Lithography Conference (EMLC 2023), 128020L (5 October 2023); https://doi.org/10.1117/12.2681647
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Extreme ultraviolet lithography

Semiconducting wafers

Photomasks

Reticles

Lithography

EUV optics

Back to Top