Paper
24 May 2004 Aerial image measurement technique for fast evaluation of 193-nm lithography masks
Author Affiliations +
Abstract
The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation successfully worldwide. By adjustment of illumination type, numerical aperture and partial coherence to match the conditions in 193 nm steppers or scanners, AIMS can emulate for any type of reticles like binary, OPC and phase shift. AIMS allows a rapid prediction of wafer printability of critical features, like dense patterns or contacts, defects or repairs on the masks without the need to do real wafer prints using the cost intensive lithography equipment. Therefore, AIMS is a mask quality verification standard for high-end masks established in mask shops worldwide. With smaller nodes, where design rules are below 100 nm and low k1 factors are used in the lithography process, the increasing printability of even smaller defects on reticles is becoming a serious problem. The evaluation of defect printability using AIMS becomes a significant aid and cost-saving technique to be applied directly in the wafer fab. The overall measurement capability of the 193 nm AIMS system will be demonstrated by measurements at 193 nm wavelength on attenuated phase shift masks. Excellent illumination uniformity is crucial for quantitative analysis of AIMS measurements such as CD variation or defect printability. To reduce disturbing speckle formation of the highly coherent ArF excimer laser a new beam homogenizing technique which contains motionless parts only will be presented as well as illumination homogeneity results compared to the current solution using a spinning scattering disk. The latest results on illumination performance exceed the current results especially with respect to illumination uniformity over the field. The improved performance will enable improved measurement capability down to the 65 nm node. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node emulating immersion scanners.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Axel M. Zibold, Thomas Scheruebl, Wolfgang Harnisch, Robert Brunner, and J. Greif "Aerial image measurement technique for fast evaluation of 193-nm lithography masks", Proc. SPIE 5375, Metrology, Inspection, and Process Control for Microlithography XVIII, (24 May 2004); https://doi.org/10.1117/12.533021
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Lithography

Scanners

Reticles

Polarization

Beam homogenizers

Back to Top