Open Access
8 February 2019 Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography
Author Affiliations +
Abstract

Projection lithography using extreme ultraviolet (EUV) light at 13-nm wavelength is expected to achieve production of integrated circuits below 10 nm design-rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen. Here, we discuss the possible impact of spatially inhomogeneous secondary electron (SE) generation on stochastic defects. Two mechanisms are investigated: (1) accidental connections of photon shot noises enhanced by densely localized SE generation and (2) cascading SE generation along photoelectron trajectory traveling from pattern edge into a dark region. Since such defect probabilities are extremely low (typically 10  −  4 to ∼10  −  12), results of Monte Carlo simulation based on classical optical image and electron scattering simulations are converted into probability functions for densities of physical/chemical events such as photon absorption, SE generation, and elementary reaction in chemically amplified resists. Probabilities of pattern formation and of defect generation are modeled using these functions. Results of performance optimization using a multiobjective genetic algorithm show higher stochastic defects probability in EUV than in conventional deep-UV exposure due to larger spatial inhomogeneity in reaction density and existence of SE generation strings. Defect probabilities are strongly dependent on absolute pattern sizes in the two mechanisms, regardless of the resolution capability of imaging systems. Guidelines for suppressing stochastic defects are suggested, such as homogenization of reaction density, material composition for increasing scattering cross-section, and suppression of pattern edge fluctuation.

1.

Introduction

In pursuit of further miniaturization of semiconductor integrated circuit devices by projection lithography using extreme ultraviolet (EUV) light at 13-nm wavelength, stochastic pattern defect problems have arisen.13 As the feature size of circuit patterns (10  nm) is approaching to the size of resist molecule/polymer (1 to 2 nm), nanometer level roughness on pattern edges (often called line edge roughness, LER) becomes a problem.4,5 In contrast, the stochastic defects are fatal patterning failures such as bridging between neighboring lines or breakages of lines. The probability of such defect generations heavily depends on pattern feature sizes1 and span in a wide range (1012 to 104). Because cutting-edge integrated circuit devices today have more than 1012 critical features per device layer on a 300-mm wafer, such a defect probability will result in an unacceptable level of defect density. Because no such problem has been reported for conventional deep UV (DUV) lithography using ArF excimer laser light (193 nm), they are material problems appearing in regime only below 40 nm or problems caused by the differences in exposure wavelength. Differences in photon energy can affect patterning performances through two aspects, photon number density and reaction mechanism.69 This paper discusses cascading shot noises and long-range scattered photoelectrons as causes of these stochastic defects. Since such defect probability is extremely low, we need to introduce probabilistic approaches into patterning analyses based on physics and chemistry. Although a typical approach to this is the Monte Carlo method, capturing such an extremely low probability phenomenon requires huge calculation time and resources. In this study, we describe systems by combinations of subsystems and build probabilistic models for each subsystem using Monte Carlo method. This enables us to calculate an extremely low probability as a product of moderately low probabilities and to keep calculation size within an acceptable range.

2.

Statistical Model of Resist Reactions

First, we start from generating physical events using Monte Carlo simulations as follows. Atoms or chemical bonds in resist materials absorb photon energy at random position inside resist films with a probability proportional to optical image intensity. Here, we calculate horizontal image intensity distribution on resist film surface simply using Hopkins theory and apply Lambert Beer’s law to obtain distribution inside resist films.10 For EUV exposure, atoms emit photoelectrons in random directions upon photon absorption. Photoelectrons change their directions by elastic scattering, lose their energies by inelastic scattering with generating secondary electrons (SE), and stop when their energies become lower than a certain threshold level. The discrete loss approximation is used in modeling electron scattering processes since cross-sections for elastic and inelastic scattering are comparable for the low energy range of photoelectron produced by EUV.1112

We assume two types of resist materials: chemically amplified resists (CAR) and nonchemically amplified metal-containing resists, such as metal oxide materials (MOx). In CAR,1316 photoacid generator molecules generate photoacid upon absorbing photon energy for DUV exposure or SE energy for EUV exposures.17 Here, we include the discrepancies between the locations of acid generation and photon absorption/SE generation in randomness of photon absorption or SE generation as approximation. Acids promote acid-catalytic reactions to flip the polarity of polar moieties in resist matrix polymers or molecules within the diffusion range of acid. A matrix polymer/molecule contains several polar moieties and changes its solubility when the number of polarity flip exceeds a certain threshold. The average number of polarity flipping reactions per acid is limited to catalytic chain length due to acid life-time or reaction site number within diffusion ranges. The probability of acid-catalytic reaction is in proportion to acid existence probability, which is approximated by Gaussian distribution centered at acid generation site with acid diffusion length as a blur. In contrast, MOx contains metallic composites to enhance EUV photon absorption.18 The elementary reaction to flip the solubility of the molecule takes place somewhere in proportion to SE existence probability, which is approximated by Gaussian distribution centered at SE generation site with SE mean-free-path as a blur. We omit the nanoscale inhomogeneity in resist compositions in this study.19

To visualize the above-reaction mechanisms, Fig. 1 shows the simulated distributions of photon absorptions (red spheres), SE generations (blue spheres, for EUV), and elementary reactions (green spheres) for three typical material systems, DUV exposed CAR, EUV exposed MOx, and EUV exposed CAR. For purely comparing material systems, the same irradiation dosage (20  mJ/cm2) and optical image distribution (16 nm lines and spaces by EUV, NA0.33 equivalent) are hypothetically assumed. For the same dosage, the area density of photon number in EUV is 1/15th of that in ArF.

Fig. 1

Simulated distributions of photon absorptions (red spheres), SE generations (blue spheres, for EUV), and acid-catalytic reactions (green spheres, for CAR) for (a) DUV exposed CAR, (b) EUV exposed MOx, and (c) EUV exposed CAR. For purely comparing material systems, the same optical image distribution (16 nm lines and spaces by EUV, NA0.33 equivalent) is assumed.

JM3_18_1_013503_f001.png

For ArF resists with photoabsorption α=0.001 and 0.004  nm1, the averaged distances between photon absorption sites inside resist dinter-PA, defined by (volumetric photon absorption density)1/3 are 1.7 and 1.1 nm at 20  mJ/cm2, respectively. Reactions in the space among photon absorption sites need to be promoted by acid diffusion, and an acid-diffusion length is required to cover this range. For EUV/MOx, α=0.02  nm1 is reported, and dinter-PA is 1.7 nm at 20  mJ/cm2. Reactions in the space among photon absorption sites are filled by plural SEs generated along photoelectron trajectories. Here, note a wide variety in the spatial distributions of generated SE; sometimes they are highly localized while sometimes they extend asymmetrically along long trajectories of photoelectrons.

In EUV/CAR, photon-absorption is sparser than in MOx due to moderate photoabsorption (dinter-PA=2.5  nm at 20  mJ/cm2 for α=0.005  nm1). In addition to SE generations along photoelectron trajectories, acid diffusion homogenizes reaction site distributions around each SE.

Next, to apply statistical analyses to the results of the above Monte Carlo simulations, we divide resist films into small segments using three-dimensional (3-D) grids (typically 1 nm step size in this study) and introduce voxel defined as a cube with 1 nm side in x, y, and z dimensions as the approximation of minimum soluble units such as resist polymers or molecules. Here, we assume one-dimensional (1-D) periodic patterns with image modulated in the x-direction. The centers of the exposed area, the edge, and the center of the masked area are located at x=0, pattern period/4, and pattern period/2, respectively. Patterned incident photons are distributed randomly on the rectangular area of a pattern pitch (10 to 60 nm) by a 200 to 1000-nm length in the x and the y directions with irradiation dosage at around 20  mJ/cm2 (corresponding to 194  photons/nm2 for ArF or 13  photons/nm2 for EUV). For photons incident to a 20-nm-thick resist film, we count the numbers of various events such as elementary reactions in each voxel and calculate the histogram of various events per voxel for voxels under the same irradiation dose (with the same x coordinate). Probability density functions (PDF) are obtained as functions of image position x for various event numbers per voxel, such as photon absorption pdfPA(x,nPA), SE generation pdfSE(x,nSE), and elementary reaction pdfER(x,nER). For 1-D analysis, these PDFs are averaged in the z-direction, though this is a rough approximation for high absorption material. We assume that the voxel solubility flips when the elementary reaction number in a voxel exceeds a certain threshold ncER. The solubility of each voxel is determined with accounting for the intensity decay in the z direction. Then, we simply count the number nSFV of solubility flipped voxels through film thickness at each horizontal position x and obtained their PDF, pdfSFV(x,nSFV) as the measure of local film solubility.

Typical image position dependences of these PDFs are shown in Fig. 2 for three optical systems: (a) 60-nm line, ArF, NA=1.35 (immersion lens), (b) 16-nm line, EUV, NA=0.33, and (c) 10-nm line, EUV, hypothetical rectangular image profile. Since most of these distributions are difficult to fit into particular statistical models, we use original distributions in all the calculations in this study. Although differences between irradiated and masked regions is small in pdfPA(x,nPA) and pdfSE(x,nSE), the contrast between the two regions increases in pdfER(x,nER) and pdfSFV(x,nSFV). This is because homogenization through photoacid diffusion processes narrows dispersion of nER. However, the dispersion in pdfSFV(x,nSFV) is wider in EUV than in ArF, meaning larger spatial nonuniformity in reaction density. Here, we point out larger inhomogeneity in SE generation observed in Fig. 1 as one of reasons for this. Even for the ideal rectangular image profile in Fig. 2(c), we observe similar dispersion in pdfER(x,nER) and pdfSFV(x,nSFV), and this directly represents nonuniformity in SE generation distribution.

Fig. 2

PDF for various physical events (photon absorption, SE generation, elementary reaction, and voxel solubility flipping number through film thickness) as functions of image position x. Three typical optical systems are assumed, (a) 60-nm lines and spaces, ArF (193 nm), NA=1.35, (b) 16-nm lines and spaces, EUV (13 nm), NA=0.33, and (c) 10-nm lines and spaces, EUV, rectangular image profile (hypothetical). Only parts of PDFs higher than 0.001 are plotted.

JM3_18_1_013503_f002.png

3.

Probabilistic Model of Pattern Defects

Next, we build probabilistic models for defect generations. We start from the definition of pattern formation and defect generation as follows; main patterns are formed if resist films remain in designated areas with designated thickness while they are completely removed for designated clear regions. In contrast, defects are defined as partially remained resist film in clear regions or as partial thickness loss in pattern regions, both resulting in undesired results after etching. The tolerable ratio of partially remaining or lost resist thickness to the designated thickness depends on etching processes.

Here, we assume negative-tone resist materials and they remain when insoluble voxels form 3-D networks connected to a substrate. We decompose this process into following two steps. First, for a local spot of film to be included in a network, it needs be insoluble. Assuming that a spot film is insoluble when the number nSFV of solubility flipped voxel through film thickness is larger than a certain threshold ncSFV film, the probability that the spot film is insoluble in the unit area at x=xi is

Eq. (1)

pfilm solubility flip(xi,ncSFVfilm)=ncSFVfilmpdfSFV(xi,nSFV)dnSFV.
Here, note that ncSFV is a process parameter while nSFV is a probability variable.

Second, for the local spot to be connected to the main pattern network, the adjacent spot at x=xi1 needs to be a part of the network. Consequently, the probability that the local spot at x=xi becomes a part of main patterns is obtained by solving Eq. (2) for image position x:

Eq. (2)

Pmain pattern(xi)=Pmain pattern(xi1)·pfull film solubility flip(xi).

For defect generation probability, we focus on bridge-type defects in negative-tone resists, undesirable formation of insoluble molecule/polymer network in clear regions. Here, we discuss two possible mechanisms separately; accidental series connections of shot noises across unexposed (clear) regions (mechanism A) and cascading SE generations along photoelectron trajectories traveling into unexposed (clear) regions from pattern regions (mechanism B). Note that the mechanism B exists only for EUV. Though these two mechanisms are not independent, full Monte Carlo simulation is necessary for dealing with the both comprehensively, and we separate them to use probability model approaches here.

For mechanism A, we treat each individual shot noise generation independently in accordance with the classical image of shot noise. This is similar to the case in previous main pattern formation, except that defect generation is limited within a certain height range of resist thickness, starting from pattern edges into clear regions. The probability that defect exists at x=xi when an edge is at x=xj(xi>xj) is expressed as

Eq. (3)

pdefectA(xi|edge=xj)=pdefectA(xi1|edge=xj)·ppartial film solubility flip(xi)·pg.
Here, pPartial film solubility flip(xi) is the probability that a part of film turns insoluble and is obtained by replacing ncSFVfilm of Eq. (1) to ncSFVdefect, and pg is the geometrical factor representing the probability that neighboring insoluble voxels are within the height range connecting to neighboring defect network at x=xi1. As a boundary condition, pdefectA(xj|edge=xj) is set to unity. The probability that defect exists at x=xi is obtained by integrating Eq. (4) for main pattern edge position as

Eq. (4)

PdefectA(xi)=pedge(xj)·pdefectA(xi|edge=xj)dxj.

In the analysis for mechanism A, we do not distinguish SEs in adjacent voxels are from different photoelectrons or from the same photoelectron and treat the probability that we find SE in each voxel independently. However, the probability that we find SEs in adjacent voxels is much higher if they are from the same photoelectron than they are from different photoelectrons, in particular when the photon absorption density is low. Thus, the analysis based on mechanism A underestimates the defect generation caused by SEs from an identical photoelectron, and we extract and evaluate such cases separately as mechanism B.

First, we calculate the probability of cascading SE generations since SE generations along photoelectron trajectory are in correlation. Here, an SE string is defined as a group of SEs generated along the same photoelectron trajectory with inter-SE distances shorter than a certain threshold (typically set to 1 nm in this study).

Defect probability in mechanism B is defined as the probability that the end of SE string reaches an evaluation point in the clear region center. To calculate this, we first calculate PDF, pSEstring(xi|edge=xj,photon=r) that photoelectron emitted from r=(x,y,z) generates an SE string between the main pattern edge at xj and the evaluation point at xi. We multiply this PDF with the probability that photon absorption exists at r=(x,y,z), for which we can use the image intensity distribution I(r). This gives us the probability that an SE string is generated between the edge at xj and the position xi when exposed with the image I(r). Defect probability in mechanism B is obtained as the product summation of this and the edge distribution as

Eq. (5)

PdefectB(xi)=Pedge(xj)·{PSEstring(xi|edge=xj,photon=r)·I(r)}dxj.

Typical examples of PDF of SE strings are shown in Fig. 3. From photoelectron scattering simulations, we first derive PDF for SE strings pdfSEstring(length,endpoint) with string lengths and their endpoint as probability variables [Fig. 3(a)]. Here, the string length is the value projected onto the direction of the endpoint from photon-absorption site. This PDF is further converted to PDF that photon absorption at r0=(x0,y0,z0) creates SE string from the main pattern edge position at x=xj to the defect evaluation position at x=xi, pSEstring(xi|edge=xj,photon=r0) [Fig. 3(b)]. Inset in Fig. 3(a) extracts SE strings longer than 4 nm out of 50k scattered photoelectrons.

Fig. 3

Probability functions for SE strings. (a) pdfSEstring(length,endpoint) versus end point (solid lines) and start point (dashed lines), and (b) typical profiles of pSEstring(xi|edge=xj,photon=r), both for SE energy = 10 eV, inter-SE distance = 2 nm.

JM3_18_1_013503_f003.png

4.

Results and Discussions

Next, we calculate the probabilities of main pattern formation and defect generation using Eqs. (2), (4), and (5). Figure 4 shows typical probability profiles along the x axis with varying exposure dose. Here, we focus on CAR and assume optimized parameters discussed later. For ArF system [Fig. 4(a)], the defect generation probability (solid lines) decreases with the main pattern formation probability (broken line) outside pattern edge position, and the defect probability at the center of the clear region is negligibly small. In contrast, for EUV [Fig. 4(b)], the defect probability profiles extend into the clear region. Even in an ideal rectangular image profile, we observe significant defect probability in a clear region for mechanism B [Fig. 4(c)]. The higher mechanism A defect probability in EUV than in ArF reflects wider PDF distributions for the elementary reaction density in a clear region shown in Fig. 2, which is attributed to larger inhomogeneity in SE generations as explained in Fig. 1. For EUV, the mean feature sizes of delineated pattern and corresponding defect probabilities at the clear region center are obtained from main-pattern and defect probability profiles for each parameter set. Here, we calculated the mean feature size from averaging edge position probability distribution obtained as Pmain pattern(xδx) [1Pmain pattern(x+δx)]. To minimize defect probabilities with keeping the delineated features size at around designated size, we applied multiobjective genetic algorithm with setting the discrepancy between delineated and design sizes and the probabilities of defect due to mechanisms A and B as objective functions.20 Physical and chemical parameters required in the above calculations are not always clear, and we assume the following parameter ranges for control variables: irradiation dose (20 to 40  mJ/cm2), resist photon absorption (0.001 to 0.02  nm1), acid diffusion length (2 to 4 nm), catalytic chain length (10 to 40), and number of elementary reaction required for voxel solubility flipping (4 to 8/nm3). SE energy per inelastic scattering (10 to 20 eV or PE energy0.5), elementary reaction site density (10/nm3), voxel size (1 nm), and the number of solubility flipped voxels through film thickness ncSFV required for generating main pattern (10) and film defect (3) are set constant during the optimization. Note that the above parameter ranges are for examining basic behaviors of the model and do not reflect any practical materials.

Fig. 4

Probabilities of defect generation (mechanism A: solid lines, mechanism B: dotted lines) and main pattern formation (broken lines) along the x-axis with varying exposure dose (15 to 40  mJ/cm2). Typical material parameter sets are assumed for each case. (a) 60-nm lines and spaces by ArF (NA=1.35)/CAR, (b) 16-nm lines and spaces by EUV (NA=0.33)/CAR, and (c) 10-nm lines and spaces by EUV (hypothetical rectangular image profile)/CAR.

JM3_18_1_013503_f004.png

Typical optimization results (eight generations of 64 populations) are shown in Fig. 5 (black dots) for each defect mechanism. Each point in the figures corresponds to a particular parameter set, and distributions of performance show a tradeoff relationship between the clear region width and defect probabilities. The lower limit of the distribution shows the Pareto solution and performance better than this limit is difficult within the assumed parameter space. When changing exposure dose only, we observed the defect probability exponentially increases with decreasing the delineated clear region width, and this agrees with experimental results.1 This exponential relationship is not scaled with resolution capability of imaging system but depends on the absolute size (clear region width) of delineated pattern, resulting in higher defect probabilities for smaller target size [Fig. 5(a), red squares]. Even for the ideal rectangular image profile, significant defect probabilities are predicted for the mechanism B [Fig. 5(a), blue crosses].

Fig. 5

Distributions of defect probabilities and delineated pattern sizes as results of the optimization processes using multiobjective genetic algorithm. (a) Dependences on design size and imaging systems, (b) dependences on reaction site density and solubility flipping threshold, and (c) dependences on SE energy assumption.

JM3_18_1_013503_f005.png

The exponential dependence of defect probabilities on the pattern size is explained as follows. In the mechanism A, the defect probability is the direct product of spot film defect probabilities (per unit area) between the edge and clear region center. In mechanism B, it is the probability that photoelectrons travel from the pattern edge to the clear region center. In both cases, it exponentially decreases with increasing the distance from the pattern edge to the clear region center. The contributions from the two mechanisms are roughly comparable although the absolute comparison between them is difficult because the defect generation criteria are different between the two.

Finally, we suggest some directions for stochastic defects suppression. Defect generation in mechanism A is due to inhomogeneity in elementary reaction density in the clear region. Increasing photon absorption density or enhancing acid catalysis reduces this but changes delineated pattern sizes. To solve this dilemma, we suggest increasing the reaction site density and the upper limit of the film solubility flipping threshold. The evolution of control parameters during optimization also supported this, and optimization with increasing the upper limit for the range of elementary reaction number required for voxel solubility flipping shows reduction in the mechanism A defect probabilities [Fig. 5(b), red squares]. For mechanism B defect, optimization results with changing the assumption of Ese (from 10 eV to square root of photoelectron energy) show a reduction in the defect probabilities [Fig. 5(c), red squares]. Although photoelectron scattering parameters inside resists are unclear, introducing material compositions with increased elastic and inelastic scattering cross-sections narrows the dispersion of pdfSEstring(length,endpoint) and suppresses the mechanism B. Defect probabilities depend also on main-pattern edge distribution because they exponentially decrease with increasing the distance from edges. Thus, low LER patterning conditions are effective, although this is often equivalent to the above two guidelines.

Though in-detailed parameter optimization is beyond the scope of this paper, our results showed basic behaviors of stochastic defects in EUV lithography. Interesting question where the present material systems located in the parameter space is open.

5.

Appendix: Coupled Monte Carlo Simulation

5.1.

Calculation of Photon Absorption Event Locations

The locations (xi,yi) of incident photons on a resist film surface through a mask are extracted from locations randomly distributed with given area density in Lx×Ly area by accepting (xi,yi) if R1i < image(xi,yi) using Von Neumann’s rejection sampling method. (R represents random value uniformly distributed in [0,1] segment.) We calculate normalized intensity distribution Image(x,y) by the partial coherent theory as

Image(x,y)=s{F[MASK(XXs,YYs)·PUPIL(X,Y)]}2,
where MASK(X,Y) is Fourier transform F of a mask transmission function, mask(x,y), Xs, Ys (s=1,..,ns) are illumination source locations, and PUPIL(X,Y) is a pupil function. For a photon incident to the resist film at (xi,yi), we generate photon absorption at depth zi as
zi=αln(1R2i).

5.2.

Calculation of Electron Scattering Event Locations

For a photoelectron generated at r0=(0,0,0), the locations of inelastic scattering events δrj=(δxj,δyj,δzj) are calculated as follows:

L=λtotal·ln(1R3j),1λtotal=1λelastic(EPE)+1λinelastic(EPE),δrn={δrn1+sn·Ln,(if  R4n<λtotalλelastic(EPEn1),elastic scattering)δrn1+sn1·Ln,(if  R4nλtotalλelastic(EPEn1),inelastic scattering),EPEn=EPEn1ESE(if  R4nλtotalλelastic(EPEn1),inelastic scattering).

Here, EPE and ESE are energies of photoelectron and SE. λelastic and sn=[an(EPE),bn(EPE),cn(EPE)] are calculated from Mott cross-section 21 and semiempirical relation12 is used for calculating λinelastic. Inelastic scattering events are extracted from the above sequence of scattering events and are renumbered.

5.3.

Calculation of Acid Catalytic Reaction Event Locations

For a photoacid generated at r0=(0,0,0), the locations of potential catalytic reaction δrk = (δxk, δyk, and δzk) are extracted from locations randomly distributed with given reaction site density by accepting δrk if R5k<Pacid(δrk,Ddiff) using Von Neumann’s rejection sampling method. We approximate the acid existence probability Pacid (r,Ddiff) by Gaussian distribution with a blur Ddiff. Nccl (catalytic chain length) points are randomly selected from the above extracted potential catalytic reaction location as acid catalytic reaction event locations.

The final catalytic reaction location rl=(xl,yl,zl) through resist film are obtained as

rl=ri+δrij+δrijk,
where δrij is the location of the j’th photoacid (or SE) generation along the photoelectron trajectory from the i’th photoabsorption event, and δrijk is the location of the k’th reaction induced by the j’th photoacid from the i’th photoabsorption. These locations are calculated for every photons, SEs, and reactions in the resist film independently.

Acknowledgments

H.F. wishes to thank M. Suzuki for support in electron scattering simulation.

References

1. 

P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041013 (2017). https://doi.org/10.1117/1.JMM.16.4.041013 Google Scholar

2. 

R. van Es et al., “EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update,” Proc. SPIE, 10583 105830H (2018). https://doi.org/10.1117/12.2299503 PSISDG 0277-786X Google Scholar

3. 

P. Naulleau, S. Bhattarai and A. Neureuther, “Understanding extreme stochastic events in EUV resists,” J. Photopolym. Sci. Technol., 30 695 –701 (2017). https://doi.org/10.2494/photopolymer.30.695 JSTEEW 0914-9244 Google Scholar

4. 

A. Yamaguchi et al., “Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance,” Proc. SPIE, 5038 689 –698 (2003). https://doi.org/10.1117/12.483519 PSISDG 0277-786X Google Scholar

5. 

H. Fukuda, “Analysis of line edge roughness using probability process model for chemically amplified resists,” Jpn. J. Appl. Phys., 42 (6B), 3748 –3754 (2003). https://doi.org/10.1143/JJAP.42.3748 Google Scholar

6. 

F. Wang et al., “Assessment of variability and defectivity by high-throughput e-beam metrology for prediction of patterning defect probabilities,” Proc. SPIE, 10585 1058525 (2018). https://doi.org/10.1117/12.2297603 PSISDG 0277-786X Google Scholar

7. 

R. Brainard et al., “Shot noise, LER and quantum efficiency of EUV photoresists,” Proc. SPIE, 5874 74 –85 (2004). https://doi.org/10.1117/12.536411 PSISDG 0277-786X Google Scholar

8. 

A. Narasimhan et al., “Studying secondary electron behavior in EUV resists using experimentation and modeling,” Proc. SPIE, 9422 942208 (2015). https://doi.org/10.1117/12.2086596 PSISDG 0277-786X Google Scholar

9. 

A. V. Pret et al., “Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists,” Proc. SPIE, 10146 1014609 (2017). https://doi.org/10.1117/12.2261434 PSISDG 0277-786X Google Scholar

10. 

W. G. Oldham et al., “A general simulator for VLSI lithography and etching processes: part I-application to projection lithography,” IEEE Trans. Electron Devices, 26 (4), 717 –722 (1979). https://doi.org/10.1109/T-ED.1979.19482 IETDAI 0018-9383 Google Scholar

11. 

R. Shimizu et al., “A Monte Carlo approach to the direct simulation of electron penetration in solids,” J. Phys. D: Appl. Phys., 9 101 –113 (1976). https://doi.org/10.1088/0022-3727/9/1/017 JPAPBE 0022-3727 Google Scholar

12. 

M. P. Seah and W. A. Dench, “Quantitative electron spectroscopy of surfaces: a standard data base for electron inelastic mean free paths in solids,” Surf. Interface Anal., 1 (1), 2 –11 (1979). https://doi.org/10.1002/sia.740010103 SIANDQ 0142-2421 Google Scholar

13. 

H. Ito, “Chemical amplification resists for microlithography,” Adv. Polym. Sci., 172 37 –245 (2005). https://doi.org/10.1007/b14099 APSIDK 0065-3195 Google Scholar

14. 

T. Kozawa and S. Tagawa, “Radiation chemistry in chemically amplified resists,” Jpn. J. Appl. Phys., 49 (3), 030001 (2010). https://doi.org/10.1143/JJAP.49.030001 Google Scholar

15. 

D. De Simone et al., “EUV photoresist patterning characterization for IMEC N7/N5 technology,” Proc. SPIE, 10583 105830G (2018). https://doi.org/10.1117/12.2299504 PSISDG 0277-786X Google Scholar

16. 

Z. Tasdemir et al., “Chemically-amplified EUV resists approaching 11 nm half-pitch,” Proc. SPIE, 10583 105831W (2018). https://doi.org/10.1117/12.2299643 PSISDG 0277-786X Google Scholar

17. 

S. Grzeskowiak et al., “Electron trapping: a mechanism for acid production in extreme ultraviolet photoresists,” J. Micro/Nanolithogr. MEMS MOEMS, 17 033501 (2018). https://doi.org/10.1117/1.JMM.17.3.033501 Google Scholar

18. 

A. Grenville et al., “Integrated FAB process for metal oxide EUV photoresist,” Proc. SPIE, 9425 94250S (2015). https://doi.org/10.1117/12.2086006 PSISDG 0277-786X Google Scholar

19. 

P.-J. Wu et al., “Nanoscale inhomogeneity and photoacid generation dynamics in extreme,” Proc. SPIE, 10586 105861O (2018). https://doi.org/10.1117/12.2316308 PSISDG 0277-786X Google Scholar

20. 

K. Deb, A. Pratap and S. Agarwal, “A fast and elitist multiobjective genetic algorithm: NSGAII,” IEEE Trans. Evol. Comput., 6 (8), 182 –197 (2002). https://doi.org/10.1109/4235.996017 ITEVF5 1089-778X Google Scholar

21. 

D. C. Joy, Monte Carlo Modeling for Electron Microscopy and Microanalysis, Oxford University Press, New York (1995). Google Scholar

Biography

Hiroshi Fukuda joined Hitachi Central Research Laboratory in 1985, where he has engaged in various fields of lithography as well as nanodevices, MEMS, and HDD, including research activities in Stanford university and Hitachi Europe Ltd. He has been with Hitachi High-technologies since 2012. He received his BS, MS and PhD degrees from Tokyo Institute of Technology in 1983, 1985, and 1994, respectively. He has published more than 30/80 journal/conference papers and holds over 20 patents.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Hiroshi Fukuda "Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(1), 013503 (8 February 2019). https://doi.org/10.1117/1.JMM.18.1.013503
Received: 21 November 2018; Accepted: 16 January 2019; Published: 8 February 2019
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Stochastic processes

Absorption

Scattering

Extreme ultraviolet lithography

Monte Carlo methods

Projection lithography

Back to Top