3 May 2021 Study of novel EUVL mask absorber candidates
Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem M. S. Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen
Author Affiliations +
Abstract

Novel mask absorber designs are catching the attention of the EUVL community due to their ability to mitigate mask 3D effects. Material selection is part of such an optimization. We propose several candidates as novel EUV lithography mask absorbers, namely TaTeN, Ru–Ta, and Pt–Mo alloys. The choice of these materials is based on their theoretical performance evaluated by EUV imaging simulation based on their complex refractive index N  (  λ  )    =  n  (  λ  )    +  ik  (  λ  )  , where the optical constants n and k relate to the phase velocity and the absorption of electromagnetic radiation with a wavelength λ, respectively. The materials are deposited as thin films on Si substrate with an additional Ru layer to mimic the cap of the multilayer mirror on the real mask. The experimental n and k values are determined by analyzing EUV reflectivity data obtained using a 13.5-nm synchrotron EUV radiation. The imaging simulation presented consists of calculating several imaging metrics including non-telecentricity, normalized image log-slope, and threshold-to-size for specific use cases using the novel absorber. It also compares the proposed materials with the reference TaBN absorber. TaTeN shows higher absorption than TaBN and refraction closer to 1, which improves phase matching for a high k absorber. The refractive index of Ru–Ta and Pt–Mo alloys exhibits a large difference from that of air and provides the required phase shift of attenuated phase shift masks. The characterizations of these materials target the requirements of an EUVL mask: durability for mask cleaning, mask lifetime, and etchability for mask patterning. The stability is first tested against several standard mask cleaning solutions by a beaker test for up to 24 h. The samples are also exposed to hydrogen plasma to imitate the working environment in an EUV scanner. Concerning material patterning, chemical reactive ion etch is applied for preliminary tests. A proper etch recipe is found for TaTeN with a good etch rate (about 60  nm  /  min) and good selectivity to the Ru underlayer (Ru etch is negligible).

© 2021 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2021/$28.00 © 2021 SPIE
Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem M. S. Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, and Vicky Philipsen "Study of novel EUVL mask absorber candidates," Journal of Micro/Nanopatterning, Materials, and Metrology 20(2), 021002 (3 May 2021). https://doi.org/10.1117/1.JMM.20.2.021002
Received: 20 January 2021; Accepted: 12 April 2021; Published: 3 May 2021
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Extreme ultraviolet

Nanoimprint lithography

Etching

Ruthenium

Refractive index

Back to Top