Open Access
20 April 2022 Latent image characterization by spectroscopic reflectometry in the extreme ultraviolet
Sophia Schröder, Lukas Bahrenberg, Bernhard Lüttgenau, Sven Glabisch, Sascha Brose, Serhiy Danylyuk, Jochen Stollenwerk, Peter Loosen, Carlo Holly
Author Affiliations +
Abstract

Background: In the extreme ultaviolet (EUV) lithography process the performance of the photoresist is a crucial factor regarding the quality and critical dimensions of the fabricated structures.

Aim: The characterization of the latent image structures in photoresists during the process steps before the development of the resist is key to understand the relation between the material of the resists, the selection of process parameters, and the resulting quality of fabricated structures.

Approach: Spectroscopic EUV reflectometry is a nondestructive metrology technique that measures the broadband reflectance of samples in the EUV spectral range and under grazing incidence angles. The technique offers a combination of high sensitivity to nanoscale structural parameters of periodic structures as well as a high sensitivity to the material composition samples, enabling the characterization of latent images of periodic structures.

Results: Measurements of the reflectance of an EUV-exposed and unexposed photoresist reveal the contrast in optical constants after the resists are treated with a post-exposure bake as well as shrinkage of the resist layer thickness. Based on this data, simulative studies on latent images of periodic grating structures are conducted showing the possibility to extract information on the structure parameters including the latent image profile and surface topography.

Conclusion: Spectroscopic EUV reflectometry shows to be sensitive to the contrast of exposed and unexposed photoresist which commends the technique to be adequate for the characterization of latent images in photoresists.

1.

Introduction

The developments in the semiconductor industry toward the fabrication of ever-smaller and more complex structures are enabled by continuous improvements of the applied fabrication techniques. In recent years, extreme ultraviolet (EUV) lithography was introduced in high-volume manufacturing of microchips/integrated circuits1,2 due to being the most critical technique with respect to achievable resolution. Additionally, the fabrication of smaller and more complex structures requires improving the quality and performance standards of the fabrication technique, imposing the need for constant improvements and optimizations of every process step of the technique.

For the creation of high-resolution patterns by EUV lithography, the performance of the EUV photoresist is of major importance.35 In the lithographic process the lithographic mask is projected onto the photoresist as an aerial image (see Fig. 1). The intensity modulation of the aerial image is captured by the resist due to chemical reactions as a latent image and further converted into a height profile after development that will finally be transferred into the fabricated device structure by etching. The quantification of the photoresist performance during each of the process steps is of high interest for industrial resist suppliers to create high-performance photoresists with optimized preprocessing and postprocessing properties.6,7

Fig. 1

Schematic of the process steps from EUV exposure to the etched target structure.

JM3_21_2_021208_f001.png

To investigate the overall resist performance, it is advisable to use several different metrology techniques to provide complementary sets of information on the performance during every process step. For the characterization of an EUV photoresist, this encompasses information on the creation and modification of a latent image during exposure and post-exposure bake (PEB) as well as on the developed resist structure. While metrology on developed and etched test structures is widely applied,8 e.g., with techniques like scanning electron microscopy and scatterometry, metrology on the resist behavior especially during the exposure and PEB remains challenging.9

During EUV exposure, several changes in the molecular structure and material composition occur in the exposed areas of the resist.7 The breakup of polymers during PEB can be captured in changes in the optical constants, especially in the visible and infrared wavelength ranges.10 Additionally, as shown in previous works on latent image characterization by atomic force microscopy (AFM), the resist tends to shrink in the exposed areas during PEB, creating a measurable imprint of the latent image in the topography of the resist surface.11,12 Diffraction off periodic surface structures was also measurable by x-ray scatterometry where a slight change of the optical constants due to the EUV exposure was registered.13

In this paper, the latent image characterization in EUV photoresists using reflectometry in the EUV spectral range is tested.14 Experimentally, we study the changes in the optical constants in the EUV spectral range and layer thickness of an EUV photoresist, especially after PEB. In simulations, the strength of the diffraction signal generated by the latent image of a line grating structure is investigated. The simulation study incorporates both relevant effects: changes in the optical constants in the exposed region as well as surface topographies generated by resist shrinkage.

2.

EUV Reflectometry

In spectroscopic EUV reflectometry,15 the broadband reflectance of a sample in the EUV spectral range is measured under various grazing incidence angles (see Fig. 2). Comparable to metrology techniques such as reflectometry or spectroscopic ellipsometry, which mainly differ in the wavelength region of the utilized radiation, information on the sample composition and geometry is reconstructed in a model-based approach.16 EUV radiation interacts strongly with any kind of material and a high number of characteristic absorption edges are present in the EUV spectral range, making EUV a suitable probing radiation for the identification of material compositions of a sample.17

Fig. 2

Principle of spectroscopic EUV reflectometry. (a) An incoming broadband EUV beam is reflected off a sample under grazing incidence angles θ. (b) By comparing the intensity of the incoming and reflected EUV beam, the wavelength-dependent reflectance of the sample is derived. The shaded area around the reflectance lines indicates the experimental uncertainty of 2%.

JM3_21_2_021208_f002.png

Reconstructable sample information based on reflectance measurements encompasses its material composition, optical constants of the individual layers, and the geometrical parameters of layer structures, like their thicknesses and surface/interdiffusion roughness.18,19 The interaction between incident radiation and the material (system) is described by Maxwell’s equations. 17 The propagation within a medium as well as the behavior at interfaces of different materials is related to the geometrical parameters and the optical constants of the sample and can be considered with a modified (optical) transfer-matrix approach.20 Measuring the reflectance (zeroth-diffraction order efficiency) of samples with periodic surface structures such as line gratings, enables the reconstruction of geometrical parameters of the periodic structure.18 The application of the EUV spectral range with wavelengths from 5 to 20 nm offers high sensitivity even to nanoscale feature sizes.18 Due to the combined sensitivity to both the geometry of periodic structures and the material composition of a sample, EUV reflectometry offers the possibility to inspect periodic structures even if they are only formed by a material contrast as can be the case for latent images in photoresists. Metrology on these kinds of test structures by EUV reflectometry can open a path to the characterization of in-resist processes during exposure and processing of photoresists.

The measurements in this work are carried out with a stand-alone EUV spectrometer developed at RWTH Aachen University21 (see Fig. 3). A compact discharge-produced plasma EUV source operated with xenon gas is utilized to provide broadband radiation in the EUV spectral range.22 Attached to the source are several vacuum chambers that contain two sequential spectrographs, with the inspected sample in between. The first spectrograph is used to capture the source emission spectrum for reference, while the second spectrograph simultaneously captures the spectrum of the EUV radiation after reflection off the sample. The sample is irradiated under several grazing incidence angles to acquire a sufficient amount of measurement data for sample parameter reconstruction. The grating lines of the samples are aligned parallel to the incident EUV radiation so that the valley regions of the grating are not shadowed under grazing incidence. In earlier publications,23 the angular range of the setup was restricted to 5 deg to 15 deg but recent hardware upgrades now allow for measurements in an extended angular range from 5 deg to 30 deg. In the setup, several optical components such as mirrors and apertures are placed to guide the EUV beam and filter out straylight. To retrieve the reflectance of the sample, the spectral image of the second spectrograph is referenced to the spectral image captured by the first spectrograph. As every optical component in the setup imposes an influence on the measured reflectance due to its own reflectance, transmittance or diffraction efficiency, the setup is calibrated with a calibration sample of known absolute reflectance. From the calibration measurement, the influence of the optical setup can be determined and comprised as a tool factor, which is then considered to retrieve the absolute reflectance of the investigated sample based on the measured data. It was shown in previous publications,23,24 that for measurements with the stand-alone EUV spectrometer the relative experimental uncertainty on the absolute reflectance is below 2% in the angular range from 5 deg to 15 deg. Due to the generally low reflectance at higher grazing incidence angles, the experimental uncertainty might rise due to a lower signal-to-noise ratio.

Fig. 3

Schematic of the stand-alone EUV spectrometer. M1 and M2 indicate deflection mirrors (CCD = charge-coupled device camera).

JM3_21_2_021208_f003.png

3.

Resist Characterization

As a basis for the simulative study on latent images, the changes in optical constants of standard EUV resists due to EUV exposure and PEB are measured by spectroscopic EUV reflectometry. Therefore, a set of samples is fabricated in order to investigate the influence of the different resist processing steps. For the preparation of the samples, a silicon wafer is spin-coated with a commercial, chemically amplified (CAR), positive tone EUV photoresist. To enhance resist adhesion on the silicon wafer, the wafer is coated with a thin hexamethyldisilazane layer before the resist processing. A compact laboratory exposure tool also developed at RWTH Aachen University (EUV-LET)25 is used to expose fields of 4×4  mm2 with an EUV dose of 20  mJ/cm2, which is above the dose-to-clear of 14  mJ/cm2 to assure that the resist will be fully cleared after development. The illuminated wafer is then cut into two parts, each with one exposed field and one unexposed field. For one of the two parts, a PEB is carried out at 95°C for 60 s. This way, a set of four samples is produced that allows the study of unexposed and EUV-exposed resist before PEB and after PEB.

Before measuring the samples by spectroscopic EUV reflectometry, the resist thickness of the fabricated samples is measured by spectroscopic ellipsometry (Sentech SE800) for referencing purposes (see Table 1). The measurement spot of the ellipsometer (300×700  μm2) is placed in the middle of the exposed fields. The wavelength range is set to 320 to 820 nm with a step size of 0.6 nm, and optical properties of the resist are taken from an available data set. It is observed that the applied PEB leads to a significant reduction of the resist thickness, while the difference between exposed and unexposed areas does not exceed the fabrication-related variations in the resist thickness of 1  nm over different samples. After EUV reflectometry measurements, the surface roughness of the EUV-exposed sample with PEB is analyzed by AFM as a reference for the later parameter reconstruction (see Table 1). Several areas of 20×20  μm2 are measured in noncontact mode with a resolution of 1024×1024  pixels and a scanning speed of 0.5  lines/s. A commercial silicon tip (PPP-NCLR) is used for all measurements. Based on these measurements, the surface roughness of the samples was determined to be 0.30 nm in the unexposed edge area, with a variation between the different subareas of around 0.01 nm. In the EUV-exposed area of the sample, the surface roughness is found to be slightly higher at 0.34 nm, likewise with 0.01 nm variation between different inspected subareas.

Table 1

Values for layer thickness measured with spectroscopic ellipsometry and EUV reflectometry and values for surface roughness measured with AFM and EUV reflectometry.

Resist layer thicknessSurface roughness
SampleEllipsometryEUV spectrometryAFMEUV spectrometry
Unexposed, without PEB46.8 ± 0.3 nm
EUV-exposed, without PEB46.8 ± 0.4 nm
Unexposed, with PEB43.7 ± 0.6 nm43.7 ± 0.2 nm0.5 ± 0.3 nm
EUV-exposed, with PEB (in unexposed edge area)42.6 ± 0.4 nm0.34 ± 0.01 nm
EUV-exposed, with PEB (in exposed center)42.6 ± 0.4 nm42.0 ± 0.2 nm0.30 ± 0.01 nm0.5 ± 0.3 nm

The stand-alone EUV spectrometer is used to measure the reflectance of the samples in the wavelength range from 11.4 to 16 nm and an angular grazing incidence range of 5 deg to 30 deg in steps of 1 deg. On the sample, the impinging EUV beam has a footprint of 1.7  mm times 200 to 500  μm dependent on the incidence angle. The reflectance in the wavelength range of 11.4 to 16 nm was measured 100 times for each incidence angle to reduce statistical errors and with an exposure time of 250 ms per measurement (see selected data set in Fig. 4). The experimental uncertainty is given by a relative uncertainty of 2% in the range between 5 deg and 15 deg factoring in both statistical and systematical errors.23 At higher grazing incidence angles, the statistical error can increase above the values considered in our last publication,23 caused by a decreasing reflectance and an accordingly lower signal-to-noise ratio. For this reason, whenever the 2% relative uncertainty cannot cover both statistical and systematical errors anymore an absolute uncertainty of 0.0005% was introduced in accordance with the visible detector noise. Above a grazing incidence angle of 24 deg, the absolute uncertainty is comparable to the reflectance value itself, so the data of these measurements are excluded in the following parameter reconstructions.

Fig. 4

Absolute reflectance measured with the EUV spectrometer of two photoresist samples at various grazing incidence angles θ. The shaded region corresponds to the rel. experimental uncertainty of 2%.

JM3_21_2_021208_f004.png

The measurement procedure with the EUV spectrometer itself introduces an EUV dose into the resist over the course of the measurement. While the resist might not be sensitive to the additional dose after PEB due to chemical changes in the resist during the bake,26,27 the dose must be considered when measuring resist not processed with a PEB. The EUV source itself emits 0.5  W/2πsr of EUV radiation,27 which will lead to irradiation of 7  μW/cm2 on the sample. For the given exposure time this will lead to a dose of 1.75  μJ/cm2 per single measurement but the accumulated dose over the whole measurement series, including all incidence angles the dose is in the order of 4.5  mJ/cm2, which is nonnegligible compared with the dose to clear of 14  mJ/cm2. Accordingly, for the current measurement procedure, which is necessary to gain reliable amounts of data with low statistical errors, only the resist sample with PEB is measured with the EUV spectrometer (see Fig. 4).

For the reconstruction of the optical constants, the resist layer thickness and surface roughness are included as additional fit parameters (see Fig. 5). The simulative model uses a modified implementation of the transfer matrix method20 with a Névot–Croce factor to model the influence of rough surfaces. While geometrical parameters of the layers exhibit an influence on the reflectance over all wavelengths and angles, wavelength-dependent optical constants only contribute to the reflectance of the corresponding wavelength. Therefore, optical constants and geometrical layer parameters are treated differently during the fit. A differential evolution method28 with reliable global optimization qualities was chosen to optimize the geometrical layer parameter in terms of a χ2 loss function. In each optimization step, the optical constants for each wavelength are optimized in a local manner using the Levenberg–Marquardt method29 with default parameters obtained from the center for x-ray optics (CXRO) database30 as an initialization.

Fig. 5

Optical constants of the unexposed and EUV-exposed sample after PEB. The refractive index n is shown in red, and the extinction coefficient k is shown in blue.

JM3_21_2_021208_f005.png

In both optical constants, a contrast is visible when comparing the unexposed and baked resist sample to the EUV-exposed and baked resist sample. Due to EUV-exposure and PEB, the refractive index n is decreased by an offset on the order of 0.001 while the extinction coefficient k is increased by 0.0001 to 0.001 dependent on the wavelength. The reconstructed values for the resist layer thickness and surface roughness agree with the reference measurements within the limits of experimental uncertainty (see Table 1).

To confirm that the contrast of the optical constants can be attributed to the EUV exposure and is not only a consequence of the experimental uncertainties, estimation of the reconstruction accuracy is performed. For that, a worst-case scenario is assumed, where the whole data set is shifted by a systematic error of 2% once in positive and once in a negative direction. Doing that leads to an offset in both optical constants of below 0.00015 in the whole spectral range, which is at least one order of magnitude smaller than the reconstructed contrast in n and k.

For parameter reconstruction, the reflected beam is assumed to be unpolarized, which corresponds to a superposition of 50% s-polarized and 50% p-polarized radiation. This neglects any polarization effects due to the reflection off the sample and the other optical components in the beam path. This will lead to a miscalculation of the reconstructed optical constants. To estimate the influence on the reconstructed optical constants, again a worst-case scenario is assumed in which the ratio between s-polarized and p-polarized is shifted to a 65% to 35% ratio, once in each direction. This induces a change in the reconstructed optical constants in the order of 0.0002 to 0.0004 which is always comparable between the two samples. As both optical constants are affected similarly, this leaves the relative changes between the optical constants still valid. While the overall reconstruction uncertainty on the optical constants rises to 0.0004, the uncertainty on the contrast only slightly rises to 0.0002, as for both samples an almost identical polarizing effect can be assumed. The total reconstruction uncertainty on the resist layer thickness and surface roughness, presented in Table 1, includes effects of the 2%-systematic error and possible offset in polarization.

The results of the EUV reflectance measurements of the samples show that the optical constants of the examined EUV photoresist change when it is EUV-exposed and after PEB. This leads to an optical contrast in the resist, which will be used in the following study on latent image characterization in photoresists. Based on the measurements with the spectroscopic ellipsometer, a shrinkage is related to the PEB treatment of the examined resist area at roughly 3 nm. Due to expected fabrication-related resist layer thickness variations of 1  nm, we cannot identify any influence of the EUV exposure on the resist layer height. If any influence is existent, it will manifest in a height variation that is in the order of or <1  nm.

4.

Simulative Study

For the simulative study of latent image characterization by spectroscopic EUV reflectometry, line gratings in the examined photoresist from Sec. 3 are considered. To investigate the strength of the diffraction signal in the reflectance of a latent image grating we compare the reflectance of an unexposed sample to a sample with a latent image grating structure. The cross-sectional layout of the simulated samples is displayed in Fig. 6. All of them consist of a silicon (Si) wafer covered by an EUV photoresist layer with a thickness of H=40  nm. The optical constants of the resist are taken from Sec. 3, while the optical constants of the Si wafer substrate are taken from the CXRO database.30 The first sample [see Fig. 6(a)] resembles pure unexposed resist with PEB (light blue) while the second sample [see Fig. 6(b)] is the latent image structure of a simplified line grating, with a pitch of P=100  nm, a linewidth of CD=50  nm, and a resist layer height of H=40  nm. For the lines (light blue), the optical constants for an unexposed resist with PEB are used, while for the spaces in between the lines (dark blue) and the optical constants of the EUV-exposed resist with PEB are used. The simulations for this study are conducted with JCMsuite,31 a software package that uses a finite element method to solve Maxwell’s equations rigorously. The simulations neglect surface or interdiffusion roughness.

Fig. 6

Schematic depictions of the simulated samples. (a) Si-wafer covered with a layer of unexposed photoresist with PEB with thickness H. (b) Si-wafer covered with a layer of photoresist with PEB with thickness H with a latent image of a line grating with pitch P and linewidth CD. Lines (in light blue) correspond to unexposed resist with PEB, and spaces (in dark blue) correspond to EUV-exposed resist with PEB. (c) Additional surface topography on the resist layer with height difference ΔH, due to resist shrinkage in the grating spaces.

JM3_21_2_021208_f006.png

The reflectance R of the samples is simulated for the wavelength range of 11.4 to 16 nm and grazing incidence angles from 10 deg to 20 deg in steps of 1 deg. The polarization of the incoming EUV beam is assumed to be unpolarized. In Fig. 7(a), the reflectance of the two samples at θ=10  deg, 15 deg, and 20 deg is shown. In the plot, a difference in the reflectance between the two samples is visible. To emphasize this difference, the relative difference in reflectance of the two different samples is calculated with ΔR=|Runexp.resistRgrating|/Runexp.resist and plotted in Fig. 7(b). A threshold at a 2% level is indicated in Fig. 7(b) with a gray, dashed line, that corresponds to the experimental uncertainty of the EUV spectrometer. This is done to estimate if the relative difference in the reflectance will be visible in the EUV reflectance measurements. For the reflectance at θ=10  deg the curve is below this threshold and only rises slightly above it in the spectral range below wavelengths of 12.25 nm. On the other hand, the curves for θ=15  deg and 20 deg are above the threshold for a broad spectral range and peaks at 20% to 30%. This indicates that the signature of the latent image grating is measurable with the EUV spectrometer, while it is dependent on both, the utilized wavelength and incidence angle.

Fig. 7

(a) Reflectance for a simulated sample of unexposed resist with PEB and a latent image grating at grazing incidence angles of θ=10  deg, 15 deg, and 20 deg. (b) Relative difference in the reflectance between the two samples. The straight gray dashed line indicates a 2% threshold.

JM3_21_2_021208_f007.png

In the next step, we examine how an additional shrinkage of an EUV-exposed resist influences the reflectance of the sample. Even though we were not able to determine the resist shrinkage due to EUV exposure in Sec. 3, publications of other groups1113 showed that for various EUV photoresists an exposed resist shows a stronger shrinkage during PEB than an unexposed resist resulting in a visible height difference. We express the height difference between unexposed resist Hline and exposed resist Hspace in form of a relative factor ΔH=(HlineHspace)/Hline·100%. In Fig. 6(c), it is shown, how the height difference is applied to the latent image gratings. In the cited publications, ΔH varies strongly with the examined resist and can be on the order of 1% to 10%.

In Fig. 8, the relative difference between the reflectance of a sample with unexposed resist with PEB is compared with a set of samples with the latent image of a line grating with several height differences of either 0%, 1%, 5%, and 10% [see Fig. 6(c)]. A height difference of 0% corresponds to the simulations shown in Fig. 7. In Fig. 8(a), the relative difference in reflectance ΔR simulated for θ=10  deg is shown. While the curves for ΔH=0% and ΔH=1% are almost identical in the considered wavelength range, the curve for ΔH=5% shows a discernible difference. It is even lower than the curve for ΔH=0%, especially in the wavelength range below 14.5 nm. For ΔH=10% on the other hand the curve is above the curve for ΔH=0% and even above the threshold of 2%, indicating that the influence of the according to surface topography is detectable by EUV reflectance measurements. In Fig. 8(b), ΔR is shown for θ=15  deg. While the curves for ΔH=0%, ΔH=1%, and ΔH=5% are almost identical in the wavelength range from 13 to 15  nm, they show a characteristic drop at different wavelengths in the range between 12 and 13 nm. The curve for ΔH=10% differs strongly from the other curves and drops below the 2% threshold in the spectral range between 13 and 14 nm. Finally, in Fig. 8(c), ΔR is shown for θ=20  deg. In the wavelength range from 11.3 to 13  nm the rel. difference increases with the height difference. For the height differences from 1% to 10% a characteristic drop in the rel. reflectance difference can be observed between 13 and 15 nm.

Fig. 8

Relative difference of the reflectance between an unexposed resist sample and a latent image grating for different height differences between lines and spaces simulated for (a) θ=10  deg, (b) θ=15  deg, and (c) θ=20  deg. The gray dashed line indicates a 2% threshold corresponding to the experimental uncertainty of the EUV reflectometer.

JM3_21_2_021208_f008.png

All these observations lead to the conclusion, that latent images of a line grating in the examined photoresist produce a signature visible in the EUV reflectance due to both the change in optical constants as well as surface topography. Moreover, the measurable signature shows a strong dependency on the probing wavelengths and incidence angles. To get an estimation of how well the latent image of a line grating in our examined resist can be characterized, a sensitivity study on two grating parameters, the resist layer thickness H and the line width CD, is conducted. The sensitivity indicates how strongly the reflectance changes due to variations of an investigated parameter. For this study, the reflectance R is simulated, while the investigated parameter v differs from the nominal parameter by a small variation of δH=δCD=0.1  nm.

The normalized sensitivity snorm(v) on a variable v   is calculated by Eq. (1) (adapted from Silver et al.32). snorm(v)=10 indicates that a variation of v by 0.1% imposes a change of 10·0.1%=1% onto the reflectance

Eq. (1)

snorm(v)=δR/Rδv/v,
snorm(H) and snorm(CD) were calculated for three incidence angles θ=10  deg, 15 deg, and 20 deg as well as once for a latent image without topography (ΔH=0%) and once with a topography due to a height difference of ΔH=10%. The plotted snorm(H) and snorm(CD) over the considered spectral range are shown in Fig. 9. While the maximum of snorm(H) over the spectral range is in the order of 0.1 to 100 depending on the experimental conditions [see Fig. 9(a)], the maximum of snorm(CD) is in the order of 0.03 to 10 [see Fig. 9(b)]. For both considered grating parameters, the snorm is generally higher for the largest grazing incidence angle of 20 deg and for a latent image grating with topography.

Fig. 9

snorm of the reflectance off a latent image line grating on the resist layer thickness H (a) and on the line width CD (b), each calculated for a grazing incidence angle of θ=10  deg, 15 deg, and 20 deg. Both, a line grating without topography (ΔH=0%) and with a surface topography (ΔH=10%) are considered.

JM3_21_2_021208_f009.png

By dividing the relative experimental uncertainty of 2% by the normalized sensitivity a lower estimation of the relative reconstruction uncertainty σrel can be given which does not take uncertainties due to parameter cross-correlations or model uncertainties into account.18 In Table 2, the minimal uncertainty over the considered spectral range of 11.4 to 16 nm is presented.

Table 2

Summarized results on the minimal reconstruction uncertainty on latent image line gratings in the spectral range from 11.4 to 16 nm.

No topography (ΔH=0%)Topography (ΔH=10%)
Grazing incidence angleσrel(H)σrel(CD)σrel(H)σrel(CD)
θ=10  deg22%67%7.2%50%
θ=15  deg0.35%14%0.25%4%
θ=20  deg0.04%7%0.02%0.22%

It is visible, that measurements at θ=20  deg show significantly lower uncertainties than measurements at θ=10  deg and 15 deg, which proves that by measuring the reflectance over a broad angular range one is able to provide data for more accurate characterization of sample parameters. By considering the whole angular range the reconstruction accuracy on H can be as low as 0.04% for latent images without topography and about 0.02% for latent images with topography. The CD might be reconstructable with a relative uncertainty of 7% in latent images without topography. In latent images with surface topography, this uncertainty is well-reduced to 0.22%.

5.

Conclusion

It has been shown that spectroscopic EUV reflectometry is suitable for characterizing the latent image of a line grating. In an experimental study, the changes in the optical constants of an EUV photoresist introduced by EUV exposure have been investigated by spectroscopic EUV reflectometry. While changes in the optical constants due to EUV exposure before PEB currently cannot reliably be measured with the utilized setup, the changes due to EUV exposure after the PEB have been detected and quantified to be in the order of 0.001 on the absolute value of the optical constants.

With the acquired information on the contrast between unexposed and EUV-exposed resist regions a simulative study has been conducted in which the reflectance of latent images of line gratings has been compared with the reflectance of an unexposed resist. Additionally, simulations of latent image gratings with a surface topography have been conducted taking a possible shrinkage of the resist due to EUV exposure during PEB into account. It has been shown that the influence of both latent image gratings with and without surface topography is visible in the EUV reflectance.

In a sensitivity study, EUV reflectometry on latent image gratings irradiated under grazing incidence angles of 15 deg and 20 deg has shown high sensitivity with respect to the resist layer thickness and line width, especially when the latent image creates a surface topography. The relative reconstruction uncertainty on the resist layer thickness can be as low as 0.02% while the uncertainty on the line width is 7% for latent images without surface topography and 0.22% for latent images with surface topography.

In future work, the influence of smaller pitch sizes, ratios between pitch and line width, and stochastics effects like line edge and linewidth roughness will be investigated. Also, the investigation of the EUV-exposure-induced changes for different kinds of photoresists might yield the possibility to characterize latent images already before a PEB, opening the way to monitor the photoresist during the whole lithographic process.

Acknowledgments

This project has received funding from DFG—Deutsche Forschungsgemeinschaft under project number 415848294—“Spectroscopic EUV metrology for nanoscale gratings” (GZ: LO 640/27-1). This project also has received funding from the Electronic Component Systems for European Leadership Undertaking under grant agreement number 783247 (Tapes 3). This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and the Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, and Israel. Additionally, this project has received funding from the German Federal Ministry for Economic Affairs and Energy (BMWi) (ZF4109603SY9).

References

1. 

C. Fouquet, “EUV products and business opportunity,” (2018). Google Scholar

2. 

Samsung Electronics Co. Ltd., “Samsung electronics starts production of EUV-based 7 nm LPP process,” (2018). Google Scholar

3. 

X. Wang et al., “Progress in EUV resists status towards high-NA EUV lithography,” Proc. SPIE, 11323 113230C (2020). https://doi.org/10.1117/12.2551886 PSISDG 0277-786X Google Scholar

4. 

T. Manouras and P. Argitis, “High sensitivity resists for EUV lithography: a review of material design strategies and performance results,” Nanomaterials (Basel), 10 (8), 1593 (2020). https://doi.org/10.3390/nano10081593 Google Scholar

5. 

B. Vincent et al., “Impact of EUV resist thickness on local critical dimension uniformities for <30  nm CD via patterning,” Proc. SPIE, 11323 1132326 (2020). https://doi.org/10.1117/12.2551606 PSISDG 0277-786X Google Scholar

6. 

B. Dragnea et al., “Chemical mapping of patterned polymer photoresists by near-field infrared microscopy,” Appl. Surf. Sci., 175-176 783 –789 (2001). https://doi.org/10.1016/S0169-4332(01)00068-X ASUSEE 0169-4332 Google Scholar

7. 

I. Pollentier et al., “Unraveling the EUV photoresist reactions: which, how much, and how do they relate to printing performance,” Proc. SPIE, 10957 109570I (2019). https://doi.org/10.1117/12.2515456 PSISDG 0277-786X Google Scholar

8. 

B. Bunday et al., “Metrology capabilities and needs for 7 nm and 5 nm logic nodes,” Proc. SPIE, 10145 101450G (2017). https://doi.org/10.1117/12.2260870 PSISDG 0277-786X Google Scholar

9. 

IEEE, “International roadmap for devices and systems 2020 edition metrology,” (2020). Google Scholar

10. 

K. C. Hickman et al., “Use of diffracted light from latent images to improve lithography control,” Proc. SPIE, 1464 245 –257 (1991). https://doi.org/10.1117/12.44439 PSISDG 0277-786X Google Scholar

11. 

J. T. Woodward et al., “Characterization of the latent image to developed image in model EUV photoresists,” Proc. SPIE, 6923 69232B (2008). https://doi.org/10.1117/12.773036 PSISDG 0277-786X Google Scholar

12. 

L. Long, A. Neureuther and P. Naulleau, “Measurement of latent image in resist using scanning probe techniques,” Proc. SPIE, 11323 113231C (2020). https://doi.org/10.1117/12.2552399 PSISDG 0277-786X Google Scholar

13. 

G. Freychet et al., “Reconstructing the three-dimensional latent image of extreme ultraviolet resists with resonant soft x-ray scattering,” J. Micro/Nanolith. MEMS MOEMS, 18 (2), 024003 (2019). https://doi.org/10.1117/1.JMM.18.2.024003 Google Scholar

14. 

S. Schröder et al., “Latent image characterization by spectroscopic reflectometry in the extreme ultraviolet,” Proc. SPIE, 11611 116111M (2021). https://doi.org/10.1117/12.2583830 PSISDG 0277-786X Google Scholar

15. 

L. Bahrenberg et al., “Laboratory-based EUV spectroscopy for the characterization of thin films, membranes and nanostructured surfaces,” Proc. SPIE, 11147 111471X (2019). https://doi.org/10.1117/12.2536884 PSISDG 0277-786X Google Scholar

16. 

C. Raymond, “Overview of scatterometry applications in high volume silicon manufacturing,” AIP Conf. Proc., 394 (788), 394 –402 (2005). https://doi.org/10.1063/1.2062993 APCPCS 0094-243X Google Scholar

17. 

D. T. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation: Principles and Applications, Cambridge University Press, Cambridge (2007). Google Scholar

18. 

L. Bahrenberg et al., “Characterization of nanoscale gratings by spectroscopic reflectometry in the extreme ultraviolet with a stand-alone setup,” Opt. Express, 28 (14), 20489 –20502 (2020). https://doi.org/10.1364/OE.396001 OPEXFF 1094-4087 Google Scholar

19. 

S. Danylyuk et al., “Multi-angle spectroscopic extreme ultraviolet reflectometry for analysis of thin films and interfaces,” Phys. Stat. Sol. C, 12 (3), 318 –322 (2015). https://doi.org/10.1002/pssc.201400117 PSSCGL 1862-6351 Google Scholar

20. 

A. Gibaud, G. Vingnaud and J. Daillant, X-Ray and Neutron Reflectivity: Principles and Applications, Springer, Berlin (2009). Google Scholar

21. 

M. Banyay and L. Juschkin, “Table-top reflectometer in the extreme ultraviolet for surface sensitive analysis,” Appl. Phys. Lett., 94 (6), 63507 (2009). https://doi.org/10.1063/1.3079394 APPLAB 0003-6951 Google Scholar

22. 

K. Bergmann et al., “Highly repetitive, extreme-ultraviolet radiation source based on a gas-discharge plasma,” Appl. Opt., 25 (38), 5413 –5417 (1999). https://doi.org/10.1364/AO.38.005413 APOPAI 0003-6935 Google Scholar

23. 

S. Schröder et al., “Accuracy analysis of a stand-alone EUV spectrometer for the characterization of ultrathin films and nanoscale gratings,” Proc. SPIE, 11517 115170S (2020). https://doi.org/10.1117/12.2573148 PSISDG 0277-786X Google Scholar

24. 

L. Bahrenberg et al., “Nanoscale grating characterization through EUV spectroscopy aided by machine learning techniques,” Proc. SPIE, 11325 113250X (2020). https://doi.org/10.1117/12.2550508 PSISDG 0277-786X Google Scholar

25. 

S. Brose et al., “Achromatic Talbot lithography with partially coherent extreme ultraviolet radiation: process window analysis,” J. Micro/Nanolith. MEMS MOEMS, 15 (4), 043502 (2016). https://doi.org/10.1117/1.JMM.15.4.043502 Google Scholar

26. 

D. Matiut et al., “New models for the simulation of post-exposure bake of chemically amplifed resists,” Proc. SPIE, 5039 (2003). https://doi.org/10.1117/12.485080 PSISDG 0277-786X Google Scholar

27. 

R. Lebert et al., “Status of EUV-lamp development and demonstration of applications,” Proc. SPIE, 5374 (2004). https://doi.org/10.1117/12.538058 PSISDG 0277-786X Google Scholar

28. 

J. Lampinen, “A constraint handling approach for the differential evolution algorithm,” in Proc. Congr. Evol. Comput., 1468 –1473 (2002). https://doi.org/10.1109/CEC.2002.1004459 Google Scholar

29. 

J. J. Moré, The Levenberg–Marquardt Algorithm: Implementation and Theory, 105 –116 Springer, Berlin (1978). Google Scholar

30. 

B. L. Henke, E. M. Gullikson and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables, 54 181 –342 (1993). https://doi.org/10.1006/adnd.1993.1013 ADNDAT 0092-640X Google Scholar

31. 

J. Pomplun et al., “Adaptive finite element method for simulation of optical nano structures,” Phys. Stat. Sol. B, 244 (10), 3419 –3434 (2007). https://doi.org/10.1002/pssb.200743192 Google Scholar

32. 

R. Silver et al., “Fundamental limits of optical critical dimension metrology: a simulation study,” Proc. SPIE, 6518 65180U (2007). https://doi.org/10.1117/12.716604 PSISDG 0277-786X Google Scholar

Biography

Sophia Schröder is a PhD student at RWTH Aachen University. She received her BSc and MSc degrees in physics from RWTH in 2016 and 2019, respectively. Since 2019, she works as a research assistant at the RWTH TOS—Chair for Technology of Optical Systems in the field of EUV technology and metrology with focus on EUV reflectometry and scatterometry. She authored and coauthored five journal and proceedings papers and is a member of SPIE.

Bernhard Lüttgenau is a PhD student at RWTH Aachen University. He received his bachelor’s and master’s degrees in physics, majoring in the subject of solid-state physics. Since 2019, he is working in the EUV technology group at the Chair for Technology of Optical Systems. His research topics include EUV interference lithography with compact EUV sources and related process technologies.

Sascha Brose graduated in mechanical engineering in 2008 and received his PhD in mechanical engineering from RWTH Aachen University in 2019. Since September 2019, he is group manager of the research group “EUV technology” at the Chair for Technology of Optical Systems (TOS) at the RWTH Aachen University. He has authored and co-authored more than 30 scientific publications mainly in the field of EUV lithography and metrology.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Sophia Schröder, Lukas Bahrenberg, Bernhard Lüttgenau, Sven Glabisch, Sascha Brose, Serhiy Danylyuk, Jochen Stollenwerk, Peter Loosen, and Carlo Holly "Latent image characterization by spectroscopic reflectometry in the extreme ultraviolet," Journal of Micro/Nanopatterning, Materials, and Metrology 21(2), 021208 (20 April 2022). https://doi.org/10.1117/1.JMM.21.2.021208
Received: 29 October 2021; Accepted: 29 March 2022; Published: 20 April 2022
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Reflectance spectroscopy

Reflectivity

Extreme ultraviolet lithography

Spectroscopy

Reflectometry

Photoresist materials

Back to Top