Open Access
1 December 2022 Resist reflow methodology development to investigate interfacial interactions
Joren Severi, Cinzia Chan, Danilo De Simone, Stefan De Gendt
Author Affiliations +
Abstract

Background

To enable printing of smaller feature sizes, lithography has progressed into the extreme ultraviolet lithography (EUVL) regime. Alongside the wavelength scaling, a reduction in resist film thickness (FT) is observed to avoid large aspect ratios that would lead to pattern collapse. The further progression to high numerical aperture (NA) EUVL will require a further reduction in resist FT moving toward an ultra-thin film regime (<30-nm resist FT). This reduction in resist FT will make the interfacial interactions between resist and underlayer more dominant, potentially influencing material behavior and making resist design challenging.

Aim

We assess a reflow process as a means to investigate interfacial effects and in this way deconvolute the correlation between resist line volume, interfacial effects, and the reflow temperature (TR), defined as the temperature at which the resist line starts broadening, which is indicative of the glass transition temperature (Tg).

Approach

We pattern a model EUV chemically amplified resist at different nominal resist FTs and different critical dimensions (CDs) and half-pitch (HP) combinations to quantify changes in the TR.

Results

The TR increases with the inverse of the CD, as well as the inverse of the resist FT. Moreover, the TR also scales with the area ratio (the ratio of the area in contact with the ambient to the area in contact with the underlayer).

Conclusions

A linear relationship between TR and its volume factor (CD × FT) normalized for the area ratio (area in contact with the ambient to the area in contact with the underlayer) is found, revealing a combined dependency on line volume and interfacial interactions. This opens the potential for the use of the reflow methodology in investigating interfacial interactions.

1.

Introduction

Over the past few decades, lithography has seen a drastic resolution improvement enabled by three main factors: (1) the reduction of the imaging source wavelength λ; (2) the increase in the lens numerical aperture (NA); and (3) the reduction of the process-related k1-factor, as summarized in the Rayleigh equation, where HP represents the smallest possible half-pitch (HP):

Eq. (1)

HP=k1λNA.

The most recent advancement of the reduction in wavelength is the introduction of extreme ultraviolet (EUV) light (13.5 nm) as the leading-edge technology to produce advanced nanoelectronics. The further advancement of EUV lithography (EUVL) is based on introducing a larger numerical aperture (NA): increasing the current EUVL value of 0.33 to 0.55 for the so-called high-NA EUVL. The increase of the NA corresponds to a physical increase in the size of the lens, enabling it to capture more diffraction orders (i.e., more information) and thus enabling smaller feature sizes.1,2 During the continuous advancement to smaller feature sizes, a reduction in resist film thickness (FT) was also observed. This reduction in resist FT was necessary to prevent large aspect ratios (resist height-to-width) that could potentially lead to pattern collapse, originating from capillary forces during the development and rinse process.3 Additionally, with the advancement to high-NA EUVL, a possible second reason for the resist FT reduction is given by a second Rayleigh equation for the depth-of-focus (DoF):

Eq. (2)

DoF=k2λNA2.

This equation shows that the DoF scales with the quadratic inverse NA. Hence, the advancement to high-NA EUVL will bring an expected reduction in DoF, which will limit the resist FT over which the exposure contrast is sufficiently high to enable a good resist patterning performance. Due to the necessary continuous downscaling of resist FT, the interfacial interaction between resist and underlayer becomes increasingly dominant.4 These interactions can influence material behavior, which in turn makes the resist design and optimization that enables a good patterning performance challenging. Changes related to material behavior that have been reported include changes in dose-to-size, in resist nanopattern line profile and number of nanofailures, and in chemical homogeneity of the resist additives that can cause pattern degradation.57

Direct measurement of the interactions between the resist and underlayer to quantify the changes in material behavior are challenging; thus measuring a readily available material property that indirectly correlates with interfacial interactions is preferred. The glass transition temperature (Tg) of a chemically amplified resist (CAR) is a useful material property because it plays an important role during the patterning process. The relation of the Tg of the resist to the temperature used in the postexposure bake (PEB) step influences the acid diffusion, which in turn influences the critical dimension (CD) and pattern roughness [(line edge roughness (LER)/line width roughness (LWR)] with the corresponding bridges and breaks. The Tg of a polymer depends on the inherent characteristics, such as intra- and intermolecular parameters, and more importantly for this study, depends on the interfacial interaction with the environment. It has been shown that the Tg scales with FT and interfacial interactions, which is believed to be related to an altered mobility of the polymer chains at the interface.8,9 In this regard, a Tg measurement can be related to potential changes in interfacial interactions as these interactions influence the Tg value.

In a previous work, we showed the capability of using dielectric response spectroscopy (DRS) to investigate the Tg of a thin polymer film.4 However, during this work, a few challenges came to light: (1) it was not possible to use the full resist formulation because the Tg would be too low and the extrapolation necessary to get the measured Tg value was too large; (2) because there was a need for two aluminum electrodes (top and bottom), the usual resist-air interface was not present, possibly affecting Tg; (3) the continuous thin blanket film did not accurately represent the exposure case, as the interaction that we are interested in is mostly discrete resist volumes; and (4) finally, the DRS setup was not readily available in a semiconductor fabrication facility (fab) environment and thus was difficult to integrate into a design-of-experiments. To this end, resist reflow was investigated as an alternative methodology to determine a reflow temperature (TR), defined as the temperature at which the resist line starts broadening, which is indicative of the Tg of the resist. The reflow methodology addresses all challenges related to the previous DRS measurements, as the TR is measured on a patterned wafer using a full resist formulation and analyzed through critical dimension scanning electron microscope (CDSEM) images.

2.

Materials and Methods

2.1.

Materials

A model EUV resist based on the poly(hydroxystyrene-ran-methyl methacrylate) (P(HS-r-MMA)) platform with a tert-butyl protecting group, i.e., poly(hydroxy-styrene-ran-tert-butyl methacrylate) (P(HS-r-tBuMA), was used. The molecular weight of the material was determined to be 6887  g/mol, and the polymer consisted of 53 monomers with a 48:52 ratio between the 2 monomer units and a polydispersity index of 1.49. The casting solvent used for spin coating was a mixture of propylene glycol methyl ether acetate and propylene glycol methyl ether (PGMEA:PGME) with a respective ratio of 80:30. The photoacid generator (PAG) used was (4-methylphenyl)diphenylsulfonium nonaflate, and the quencher was trioctylamine. The chemical structure for the full resist formulation can be seen in Fig. 1. The resist was spin coated on a commercial carbon-based organic underlayer.

Fig. 1

Chemical structure of the poly(hydroxy-styrene-ran-tert-butyl methacrylate) (P(HS-r-tBuMA) polymer and associated PAG ((4-methylphenyl)diphenylsulfonium nonaflate) and quencher (trioctylamine).

JM3_21_4_044602_f001.png

2.2.

Methods

2.2.1.

EUV Exposure and Reflow

The sample preparation for EUV exposure was done by spin coating the organic underlayer (20 nm) on top of a silicon wafer. This layer was subsequently baked at the vendor recommended setting of 205°C for 60 s. On top of this underlayer, a model EUV CAR was manually spin coated at the desired nominal resist FT (10, 20, 40, or 60 nm) and baked at the vendor recommended settings (90°C 60 s). A resist FT check was performed using ellipsometry to confirm these nominal values. The wafers were subsequently exposed in an ASML full-field NXE:3400 scanner with a custom X-dipole illumination in a focus exposure matrix (FEM) to print vertical 1:1 lines and spaces at the desired CD and HP. Subsequently, the wafers received a PEB of 90°C for 60 s and were developed with a 2.38% tetramethyl-ammonium hydroxide solution.

For each resist FT, several wafers were processed and then baked at a specific temperature to initiate the reflow process, except for the reference wafer. It is this reflow step that allow us to extract the TR that is proportional to the Tg of the resist.

2.2.2.

CDSEM and power spectral density

Patterning images were taken with a Hitachi CG-6300 CDSEM. For each resist FT, the reference wafer was used to determine the wafer die with the best dose and best focus condition for a specific CD and HP. For both the reference and reflow wafers, the selected die was investigated by taking 50 images within that die at different locations. For the CDSEM images, the following best-known imec settings were used: 1638  nm×1638  nm images at 2048×2048  pixels, 83 K magnification, 0.8-nm pixel size, for a total area of 128  μm2, according to imec protocol.10 These images were subsequently analyzed with the Fractilia MetroLER software version 2.2.0 to obtain the CD, the unbiased LWR,11 and the corresponding power spectral density (PSD) that originates from the Fourier transform of the autocorrelation function.12

An important aspect of the PSD is that it enables the quantification of the SEM noise floor from the biased PSD, which is needed to obtain the unbiased PSD. Moreover, it provides additional information on the size-scale distribution of the roughness. The PSD plot also gives access to some parameters that are easily comparable: (1) the unbiased LER/LWR, (2) the characteristic correlation length for the resist determined by the fall-off point, (3) a roughness exponent (H), and (4) the extrapolated PSD(0) value, which gives an idea of the uncorrelated roughness that can be obtained, as summarized in Fig. 2.

Fig. 2

Different characteristic parameters that are derivable from a PSD graph.

JM3_21_4_044602_f002.png

3.

Results and Discussion

The main goal of this work is to investigate the reflow process as a valid alternative for determining the TR, proportional to the Tg, in such a way that it can be used to investigate interfacial interactions between the resist and underlayer. Additionally, it serves to verify the trend obtained in the previous DRS experiments in which an increase of Tg was observed for reducing the blanket polymer FT.

A design of experiments was set up to investigate the effect of different resist FTs at fixed CD values, as well as the effect of a fixed resist FT at different CD values. The exact values are given in Table 1. In what follows, the outcome of this experiment is described.

Table 1

Design of experiments indicating the tested resist FT and CDs with corresponding HP value.

Resist FT (nm)CD (nm)HP (nm)
202727
204040
402727
404040
405555
40110110
602727
604040

3.1.

Resist FT Variation at Fixed CD

In an initial effort to understand the capabilities of the reflow process, three different resist FTs (20, 40, and 60 nm) were investigated at fixed CD-HP combinations. Figure 3 shows the mean line CD versus the different reflow bake temperatures. In this graph, each datapoint represents a different wafer at the same dose and focus condition but baked at a different reflow bake temperatures. The figure shows that the CD for a given CD-HP combination remains constant under different reflow bake temperatures, up until a certain temperature at which the CD starts to increase; hence the reflow process of the resist lines has started. We can qualitatively observe that, for a fixed CD-HP combination, the onset of the reflow starts at lower temperatures for thicker resist FTs and higher temperatures for the thinner resist FTs. An additional remark can be made that the 60-nm resist FT data exhibit an apparent dip in CD before the lines start to reflow. During this temperature range a decrease of the CD is observed, indicating volume loss of the resist line. The origin of this phase is not clear, since the temperature of the bake is too low for thermal decomposition of the organic material but could potentially point to some residual casting solvent or developer solution that is still present in the resist and subsequently evaporates. This phase is then followed by a drastic increase of the resist line CD, indicating the onset of the reflow process. The presence of this extra phase for the 60-nm resist FT sample indicates that a difference can be observed in the behavior of the resist material depending on its thickness.

Fig. 3

Mean line CD versus reflow bake temperature for (a) 20-, 40-, and 60-nm resist FTs at 27-nm CD and 27-nm HP and (b) 40-nm CD and 40-nm HP. Each datapoint represents the averaged results of 50 SEM images.

JM3_21_4_044602_f003.png

Because the mean line CD, as a parameter to investigate the TR, is not stable enough at the 60-nm resist FT, a more robust parameter is needed. A useful parameter for this research that can be readily extracted from the PSD is the LWR correlation length. The LWR correlation length of a resist indicates at which exact length scale the roughness of the resist line becomes correlated. The usefulness of this parameter can be intuitively understood, as the reflow process actively reshapes or rearranges the resist line under the influence of temperature, and thus the length scale over which the roughness is correlated changes with it. Figure 4 shows the outcome of the LWR correlation length analysis versus the reflow bake temperature.

Fig. 4

LWR correlation length versus reflow bake temperature for (a) 20-, 40- and 60-nm resist FTs at 27-nm CD and 27-nm HP and (b) 40-nm CD and 40-nm HP. Each datapoint represents the averaged results of 50 SEM images.

JM3_21_4_044602_f004.png

This figure also qualitatively confirms that the reflow onset for the thicker resist FT happens at lower temperatures compared with the thinner resist FT. Moreover, the extra CD reduction phase of the 60 nm resist film thickness is not observed, indicating that the LWR correlation length is preserved before the reflow onset. Although the behavior of both the mean line CD and LWR correlation length versus the reflow bake temperature is similar, the absence of this extra phase in the latter makes fitting the curves obtain a reflow temperature that is more robust and accurate. The fitting for these curves to extrapolate a TR is described in Sec. 3.3.

3.2.

CD Variation at Fixed Resist FT

In addition to the investigation of the impact of the resist FT on the reflow process, the impact of the CD for a fixed resist FT also was investigated. For the 40-nm resist FT four different CD-HP combinations were investigated. The results are given in Fig. 5. Again, a qualitative observation that can be made is that, for a fixed resist FT, the onset of the reflow starts earlier for larger CDs (55 and 110 nm) compared with the smaller CDs (27 and 40 nm). This trend is also confirmed looking at the LWR correlation length versus their respective reflow bake temperature.

Fig. 5

(a) Mean line CD versus reflow bake temperature for 40-nm resist FT and various CD-HP combinations. (b) The LWR correlation length versus reflow bake temperature for 40-nm resist FT and various CD-HP combinations.

JM3_21_4_044602_f005.png

3.3.

Resist TR Extrapolation

We have now qualitatively confirmed that both the resist FT and CD play a role in the onset of the reflow process. In the next step, it is important to provide an exact corresponding TR value to quantitatively confirm these trends. For the fitting and analysis, it was opted to move forward with the LWR correlation length versus reflow bake temperature because these plots are extremely stable below the resist line TR value. These curves seem to exhibit an exponential behavior and are thus fitted with an exponential function (Eq. 3) in which an R2>0.99 was always obtained:

Eq. (3)

y(x)=A1*ext1+y0.

A few options present themselves to extract a TR from the exponentially fitted curves. Rather than the asymptotic value of the reflow bake temperature in the infinite limit of the LWR correlation length, it seems more intuitive to determine the TR at the point where the LWR correlation length starts to increase. After all, it is at this point that the reflow process begins. We arbitrarily chose to determine the TR when the LWR correlation length reaches a 10% higher value compared with its initial fitted y0 value. This also gives an added benefit that the TR extraction is located within the measured datapoints and not in the extrapolated region, which makes the value more reliable. A remark can be made that any offset percentage can be used to determine the TR; however, if the same offset value is used within the dataset, all TR values will show a trend relative to one another. Figure 6 shows an example of a 10% increase with respect to the fitted LWR correlation length at low temperatures (y0 value) that is used to determine the TR.

Fig. 6

Example of the exponential fit and TR extrapolation for 20-nm resist FT at 40-nm CD and HP 40 nm.

JM3_21_4_044602_f006.png

To be able to conclude that the reflow process can be used as a methodology to investigate changes in interfacial interactions through the TR, a quantitative analysis and explanation on the parameters that influence the value are needed. The fitting and TR extraction were performed for all resist FTs and CD-HP combinations. The result for the fixed resist FT and variable CD data is given in Fig. 7. This figure indicates quantitatively that a smaller CD leads to a higher TR. By increasing the CD and keeping the resist FT constant, both the volume of the resist line and the contact area between the resist and underlayer increase. This effect shows a trend with an exponential effect on TR. At larger CDs (45, 50, and 110 nm), the TR remains largely unaffected by the increased volume and resist-underlayer interaction surface, and it only shows a small reduction in TR for a larger CD. However, the TR seems extremely sensitive when decreasing the CD past a threshold value, which results in a rapid increase of TR. This indicates that the volume plays a dominant role compared with the resist-underlayer interaction surface when determining the TR.

Fig. 7

Mean line CD versus TR for 40-nm resist FT at various CD and HP combinations. The error bars represent the corresponding 95% confidence interval.

JM3_21_4_044602_f007.png

The result for the resist FT variations and two fixed CDs data is given in Fig. 8. We can quantitatively confirm that a thinner resist FT leads to a higher TR. By increasing the resist FT and keeping the CD constant, the volume of the resist line is scaled without changing the resist-underlayer interaction surface. This figure also nicely shows our earlier confirmed trend that a larger CD leads to a lower TR value. Again, it also indicates that volume plays a larger role in affecting the TR because the relative TR difference between the fixed 27- and 40-nm CD datapoints becomes smaller as the resist FT increases. Moreover, this result also confirms the initial trend that we observed in our previous DRS work in which a thinner polymer blanket film leads to a higher Tg.

We have now quantitatively confirmed the TR scales with the inverse of the CD and FT. To confirm the reflow methodology as a potential way to investigate interfacial interactions, it is now useful to perform a more indepth analysis and attempt to unify both the CD and resist FT variation datasets in a single master plot that shows and confirms the dependencies of TR. Because the TR seems to scale with the inverse of the resist FT and CD, all data points are plotted versus the inverse product of their respective resist FT and CD in Fig. 9. A remark that can be made is that the volume of a resist line is the CD multiplied by the resist FT and the resist line length. Because the length of the resist line is a constant for all datapoints, the product of the CD and resist FT is a volume scaling factor (VF):

Eq. (3)

Resist line volume=CD×FT×line length,

Eq. (4)

Volume scaling factor(VF)=CD×FT.

Fig. 8

Resist FT versus TR for 20-, 40-, and 60-nm resist FT at 27- and 40-nm CD and HP combinations. The error bars represent the corresponding 95% confidence interval.

JM3_21_4_044602_f008.png

Fig. 9

TR versus the inverse of the VF (CD×FT) for all resist FT and CD and HP combinations. The error bars represent the corresponding 95% confidence interval.

JM3_21_4_044602_f009.png

Figure 8 shows that a linear trend for resist FTs 20 and 40 nm is obtained versus the inverse of the volume factor. However, more importantly, we observe that the 60-nm resist FT datapoints deviate from this linear trend. This discrepancy can be understood when looking at a specific case. In the case of the 40-nm resist FT with a 40-nm CD datapoint (orange) and the case of the 60-nm resist FT with the 27-nm CD datapoint (blue), we obtain a VF that is very similar (1600 and 1620  nm2). This means that, for a line of the same length, both lines practically have the same volume. Despite having this same volume, the TR of the 40-nm CD datapoint is close to 10°C higher compared with the 27-nm CD datapoint. This is a first indication that not just volume but also the surface area of the resist line and its interactions with the environment play a role in the determination of TR. After all, if the TR was only dependent on the volume, then any interaction of the resist with its environment would have no significant impact. More specifically, Fig. 10 shows the difference in the aspect ratio and thus surface areas that are in contact with the ambient and the underlayer, respectively.

Fig. 10

Comparison of the 60-nm resist FT, 27-nm CD lines (left, blue), and the 40-nm resist FT and 40-nm CD lines (right, orange).

JM3_21_4_044602_f010.png

From Fig. 10, it becomes clear that a line with the same volume does not necessarily result in the same TR. Although the TR does scale with volume, a correction factor needs to be applied to account for the difference in aspect ratio (i.e., resist height-to-width or FT-to-CD) and the resulting difference of area in contact with the underlayer and ambient. To this end, the ratio of the area in contact with the ambient and the area in contact with the underlayer was calculated as follows:

Eq. (5)

Area(ambient)=CD×length+2(FT×length),

Eq. (6)

Area(underlayer)=CD×length,

Eq. (7)

Area ratio=Area(ambient)Area(underlayer)=1+2FTCD.
This area ratio correction factor was then used in combination with the VF to plot the data again, as shown in Fig. 11. As seen from Fig. 11, the area ratio correction factor succeeds in obtaining an overall trend for all datapoints, indicating that the dependencies of the TR are (1) the resist line volume and (2) the ratio of the area in contact with the substrate. Moreover, when plotting the TR versus the product of the VF and area correction factor instead of the inverse, a linear correlation between TR and its influencing factors is obtained.

Fig. 11

(a) TR versus the inverse of the VF (CD×FT) and area ratio and (b) TR versus the VF and area ratio for all resist FT and CD and HP combinations. The error bars represent the corresponding 95% confidence interval.

JM3_21_4_044602_f011.png

The outcome of this work brings along some interesting remarks: (1) the linearity of the fit makes it easy to predict a TR for a given resist FT and CD, provided a calibration curve for that specific resist and underlayer is available. (2) Because the TR is not just dependent on volume, but also on the area in contact with the underlayer, and thus also dependent on the interactions between resist and underlayer, this methodology can potentially be used to investigate resist interfacial interactions based on their TR. In that case, a good resist-underlayer interaction will result in a higher TR, i.e., the ability to engage in electrostatic attractions, such as hydrogen bonds, a match between polar and dispersive components in their respective surface energies or the ability to form covalent bonds, and more. Vice versa, a bad resist-underlayer interaction will cause a drop in the TR.

As a direct application of this methodology, it can be used for resist-underlayer combination screenings or investigating the effect of changing the resist additives (i.e., the PAG and quencher), polymer pendant groups, or the polymer backbone on the resist-underlayer interfacial interactions. (3) Finally, the TR methodology can be used in a fab environment to assess the impact of going to a smaller resist FT or CD on the TR and thus the proportional Tg to assess the impact on the acid-diffusion process and resulting (unbiased) LER/LWR.

4.

Conclusion and Outlook

In this work, an assessment was made of the reflow methodology as an alternative for investigating interfacial effects through the extraction of a TR that is proportional to the Tg of the resist line, in view of the resist design for high-NA EUVL. The goal was to address all challenges that came to light in a previous work in which DRS was used: (1) it was not possible to use the full resist formulation because the Tg would be too low and the extrapolation necessary to get the measured Tg value was too large; (2) because there was a need for two aluminum electrodes (top and bottom), the usual resist-air interface was not present, possibly affecting Tg; (3) the continuous thin blanket film did not accurately represent the exposure case—as the interaction that we are interested in is mostly the discrete resist volumes; and (4) finally, the DRS setup was not readily available in a fab environment and thus was difficult to integrate into a design-of-experiments.

Our findings have shown that the LWR correlation length was a robust parameter that could be used to extract a TR related to a specific CD and resist FT combination. We have qualitatively and quantitatively confirmed that, for the same CD, the TR is higher for a thinner resist FT, also confirming the DRS results from our previous work. Moreover, we were able to demonstrate that, for a fixed resist FT, TR also scales with CD: a smaller CD results in a higher TR, and vice versa. From this data, the dependencies of TR were investigated to unify all CD and resist FT datapoints in a single graph. The CD and resist FT parameters were included in the form of a volume factor (CD×FT). Additionally, we were able to show that TR also depends on the ratio of the resist line area that is in contact with the ambient and the resist line area in contact with the underlayer. These findings indicate that TR is a function of the resist line volume (CD×FT), as well as the interaction of the resist with the underlayer (area ratio).

As an outlook of this work, the goal is a further investigation and confirmation of resist-underlayer interfacial interactions to further confirm and strengthen the reflow methodology.

Disclosures

The authors declare no conflicts of interest.

Acknowledgments

Joren Severi is a Strategic Basic (SB) research PhD fellow at Fonds Wetenschappelijk Onderzoek (FWO) (Grant No. 1SA8919N).

References

1. 

A. Pirati et al., “The future of EUV lithography: enabling Moore’s law in the next decade,” Proc. SPIE, 10143 101430G https://doi.org/10.1117/12.2261079 PSISDG 0277-786X (2017). Google Scholar

2. 

C. Zahlten et al., “High-NA EUV lithography: pushing the limits,” Proc. SPIE, 11177 111770B https://doi.org/10.1117/12.2536469 PSISDG 0277-786X (2019). Google Scholar

3. 

S. Farshid Chini and A. Amirfazli, “Understanding pattern collapse in photolithography process due to capillary forces,” Langmuir, 26 13707 –13714 https://doi.org/10.1021/la101521k LANGD5 0743-7463 (2010). Google Scholar

4. 

J. Severi, D. De Simone and S. De Gendt, “Dielectric response spectroscopy as means to investigate interfacial effects for ultra-thin film polymer-based high NA EUV lithography,” Polymers (Basel), 12 (12), 2971 https://doi.org/10.3390/polym12122971 (2020). Google Scholar

5. 

S. Malik et al., “Post-exposure bake activation temperature energy considerations resist for high systems,” J. Photopolym. Sci. Technol., 13 513 –518 https://doi.org/10.2494/photopolymer.13.513 JSTEEW 0914-9244 (2000). Google Scholar

6. 

E. L. Jablonski et al., “Surface and bulk chemistry of chemically amplified photoresists: segregation in thin films and environmental stability issues,” Proc. SPIE, 5376 302 –311 https://doi.org/10.1117/12.535703 PSISDG 0277-786X (2004). Google Scholar

7. 

P. Vanelderen et al., “The role of underlayers in EUVL,” J. Photopolym. Sci. Technol., 31 (2), 209 –214 https://doi.org/10.2494/photopolymer.31.209 JSTEEW 0914-9244 (2018). Google Scholar

8. 

J. A. Forrest et al., “Effect of free surfaces on the glass transition temperature of thin polymer films,” Phys. Rev. Lett., 77 (10), 2002 –2005 https://doi.org/10.1103/PhysRevLett.77.2002 PRLTAO 0031-9007 (1996). Google Scholar

9. 

Z. Yang et al., “Glass transition dynamics and surface mobility of entangled polystyrene films at equilibrium,” Macromolecules, 44 (20), 8294 –8300 https://doi.org/10.1021/ma201675z MAMOBX 0024-9297 (2011). Google Scholar

10. 

G. F. Lorusso et al., “The need for LWR metrology standardization: the imec roughness protocol,” Proc. SPIE, 10585 105850D https://doi.org/10.1117/12.2294617 PSISDG 0277-786X (2018). Google Scholar

11. 

G. F. Lorusso et al., “Unbiased roughness measurements: subtracting out SEM effects,” Microelectron. Eng., 190 33 –37 https://doi.org/10.1016/j.mee.2018.01.010 MIENEF 0167-9317 (2018). Google Scholar

12. 

C. Cutler et al., “Roughness power spectral density as a function of resist parameters and its impact through process,” Proc. SPIE, 10587 1058707 https://doi.org/10.1117/12.2297690 PSISDG 0277-786X (2018). Google Scholar

Biography

Joren Severi received his MS degree in chemistry from KU Leuven University, Belgium, in 2018. Then, he pursued his PhD in chemistry on the characterization of ultrathin films for high-NA EUV lithography in collaboration with KU Leuven University and the exploratory patterning group at imec.

Cinzia Chan received her MS degree in chemistry from KU Leuven, Belgium, in 2021. Currently, she is pursuing a PhD in chemistry at KU Leuven jointly with the “exploratory materials, chemistry, and physics” (EMCP) group at imec. Her research focus is chelation chemistry applied to the nanofabrication of advanced CMOS technology.

Danilo De Simone received his MS degree in chemistry from the University of Palermo, Italy, and has 21 years of experience in the semiconductor field. He has worked for STMicroelectronics, Numonyx, and Micron, leading the development of lithographic materials for 90-nm, 65-nm NOR flash devices, and the 32-nm double patterning modules for 45-nm phase change memory technology. In 2013, he joined imec in Belgium, leading the exploration of photo materials for EUV lithography.

Stefan De Gendt received his PhD in chemistry in 1996 from the University of Antwerp. He joined imec in 1996. Currently, he holds the position of fellow at imec and serves as the director for academic relations. Since 2003, he has been associated with to the KU Leuven in the Department of Chemistry as a part-time full professor.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Joren Severi, Cinzia Chan, Danilo De Simone, and Stefan De Gendt "Resist reflow methodology development to investigate interfacial interactions," Journal of Micro/Nanopatterning, Materials, and Metrology 21(4), 044602 (1 December 2022). https://doi.org/10.1117/1.JMM.21.4.044602
Received: 14 October 2022; Accepted: 11 November 2022; Published: 1 December 2022
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Fourier transforms

Critical dimension metrology

Extreme ultraviolet lithography

Line width roughness

Photoresist processing

Semiconducting wafers

Polymers

RELATED CONTENT

LWR study on resist formulation parameters
Proceedings of SPIE (March 29 2013)
Considerations in the design of photoacid generators
Proceedings of SPIE (April 30 2023)
EUV resist processing with flash-lamp
Proceedings of SPIE (March 20 2012)
Resist evaluation for EUV application at ASET
Proceedings of SPIE (April 12 2007)

Back to Top