Open Access
23 December 2013 Special Section Guest Editorial: Advanced Plasma-Etch Technology
Author Affiliations +
Abstract
Plasma etch technology is an integral and indispensible part of patterning technology that has enabled continuous scaling in the semiconductor industry for more than forty years. Advancement in plasma-etch technology, along with other semiconductor process technologies, has brought the state-of-the-art semiconductor technology, the so-called 22 nm node of complementary metal–oxide–semiconductor (CMOS) technology, into mass production. This 22 nm node CMOS technology features a three-dimensional (3-D) FinFET, a metal one pitch of about 90 nm and copper/low-k interconnects. The next generation of 14 nm node CMOS technology is expected to be brought into mass production in the first quarter of 2014.
© The Authors. Published by SPIE under a Creative Commons Attribution 3.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Qinghuang Lin, Ying Zhang, and Gottlieb S. Oehrlein "Special Section Guest Editorial: Advanced Plasma-Etch Technology," Journal of Micro/Nanolithography, MEMS, and MOEMS 12(4), 041301 (23 December 2013). https://doi.org/10.1117/1.JMM.12.4.041301
Published: 23 December 2013
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
CMOS technology

Optical lithography

Plasma etching

Etching

Directed self assembly

Plasma

Semiconductors

Back to Top