Open Access
22 March 2016 Investigation of defect detectability for extreme ultraviolet patterned mask using two types of high-throughput electron-beam inspection systems
Author Affiliations +
Abstract
Defect detectability using electron-beam (EB) inspection for an extreme ultraviolet (EUV) mask was investigated by comparing a projection electron microscope (PEM) and a scanning electron microscope (SEM) inspection system. The detectability with EB does not coincide with the printability data because the contrasts of the EUV aerial image and EB image for EUV mask are reversed. The 16-nm-sized defect on a half-pitch 64-nm line and space (L/S) pattern is detected even when the line edge roughness is taken into account in both PEM and SEM inspections by applying a special algorithm for image processing. The required and robust inspection conditions, such as the number of electrons per pixel and pixel size (resolution), were examined for an SEM inspection system. The throughput of the PEM inspection system corresponds to that of the multibeam SEM one with 200 to 1850 beams.

1.

Introduction

Extreme ultraviolet lithography is a promising technique for post-2X nm generation lithography. Pattern inspection is one of the key issues that must be addressed in the fabrication of devices of half-pitch (hp) 16 nm and beyond. The inspection using deep ultraviolet (DUV) light, 199 and 193 nm in wavelength, had a throughput advantage, but continued shrinkage of pattern size has been leading toward difficulties in detecting small defects.1 On the other hand, actinic (EUV) light, 13.5 nm in wavelength, is one of the candidates for EUV mask pattern inspection, but this has encountered many problems, such as source power, damage to mirrors and damage to masks, and high cost, which all remain to be addressed. An electron beam (EB) inspection system has an advantage of its high image resolution. The EB inspection system, however, does also have the disadvantage of its lower throughput, but that can be overcome by using a projection-type microscope with wider illumination beam,24 or by using multibeam scanning electron microscope (SEM) type inspection systems.58

In the pattern inspection system, the signal-to-noise ratio (SNR) is another critical parameter as it affects the defect detection sensitivity and minimizes false defect detection.9 At higher illumination beam current densities, where a larger number of electrons per pixel is obtained on the detector, a higher SNR is achieved. However, high current densities of a focused illumination beam degrade the resolution due to blurring caused by the space charge effect.10 The projection-type microscope is free from the space charge limit because of its wider illumination beam.2 Moreover, any resolution degradation due to the aberration of imaging electron optics (EO) can be improved by the aberration correction systems.1114 Therefore, we have been developing a projection electron microscope (PEM)23 for pattern inspection, and have evaluated its feasibility.9,1424 In order to accelerate this development program, the optimal inspection condition and the analysis of PEM image were investigated by using a computer simulation.9,1823 We had already reported that a 12-nm-sized defect on hp 64-nm mask pattern was detectable by the developed PEM,24 and that the detectability is in good agreement with the simulation results.9,22,24 It was also found that the optimal inspection conditions, such as landing energy, depend on defect size and shape.9,21,23 In this paper, in order to investigate the nature of defect detectability of an EUV patterned mask using an EB inspection system, the impacts of defect size and shape, and of the line edge roughness (LER), the image processing algorithm on detectability are analyzed using the PEM and SEM inspection systems.

2.

Experimental

Figure 1 shows schematic illustrations of the PEM and SEM inspection systems. In the case of PEM, the beam covering a wide area illuminates the mask surface and generates secondary electrons (SEs) that are focused on a time delay and integration (TDI) sensor through the imaging EO.

Fig. 1

Schematic illustrations of the (a) PEM and (b) SEM inspection system.

JM3_15_1_013510_f001.png

The resolution of the PEM image is basically determined by the quality of the imaging EO, such as the point spread function, aberrations, and optical magnification, and also by the pixel size of the TDI sensor. In our currently developed PEM for the 16-nm node, the pixel size of the acquired image is set to 16×16  nm. In previous work using our developed tool, hp 64- and 44-nm L/S patterns were successfully resolved and 12-nm-sized defects were detected without any false defect.1516,24 On the other hand, the resolution of the SEM image is basically determined by the spot size of the incident beam, and that is determined by the beam current and beam energy.10 In order to analyze the defect detectability using PEM and SEM, simulated PEM and SEM images with hp 64-nm L/S patterned EUV masks were obtained using CHARIOT Monte Carlo software (Abeam Technologies Inc.).25 The software with 72 cores was installed in an all-in-one server computer, Proliant DL 980 G2 (Hewlett-Packard) with 80 cores. In order to simulate the PEM image obtained by our developed tool, the pixel size and the number of electrons/pixel on the simulated PEM image were set to 16×16  nm and 3000  electrons/pixel, respectively. On the other hand, simulated SEM images were modeled on the multibeam SEM, developed by Malloy et al., and the current of a single beam was set to 672 pA.7 The resolution of the simulated SEM image was determined by the pixel size of the image, which was varied from 1.5 to 6 nm. In order to obtain the same electron dose per unit area as that of the PEM, the dwell time was set to 6.1 ns (in the case of 1.5-nm-sized pixel). According to the ITRS-2014 update, the defect size on the EUV mask is defined as the square root of the defect area on a two-dimensional mask surface. Therefore, square-shaped programmed defects were used in the simulation to simplify the analysis of defect detection. In order to compare the PEM and SEM images with the same SE yields, a fixed landing energy of 1 keV was used to acquire these images. The detailed simulation conditions for PEM have been described in earlier reports.9,2122 The difference between the simulated image with defects and that without defects is defined as the difference image. In order to enhance the defect signal intensities, different types of image processing operations for PEM and SEM images were applied to the simulated images.9,17 The EUV aerial image and the wafer printability of the mask defects were simulated using a LAIPH™ defect printability simulator (Luminescent Technologies Inc.).26 For a typical defect type, we focused on edge extrusion and edge intrusion, and we evaluated the printability with the printed space-width difference on a wafer. Illumination conditions were defined to match a state-of-the-art EUV pattern exposure system: numerical aperture=0.33, sigma=0.55 to 0.9, and illumination type as a dipole. Defect sizes that generated a 10% critical dimension error for 16-nm node patterns were then calculated. The LER of the L/S patterns was formed with normal random numbers and was described by a 3-sigma deviation of an edge from a straight line (3 sigma).

3.

Results and Discussion

3.1.

Comparison of Defect Detectability Between Electron-Beam and Deep Ultraviolet Inspection Systems

Figure 2 shows an EUV aerial image, EB (SEM) image, and EB (PEM) image of the hp 64-nm L/S patterned EUV mask. The contrasts of EB images are reversed compared with the aerial image. The contrast of the DUV image is similar to that of the aerial image because DUV is also reflected by the EUV reflective multilayer (ML) and is also absorbed by the EUV absorber layer as shown in Fig. 3.

Fig. 2

(a) EUV (aerial), (b) SEM, and (c) PEM images of hp 64-nm L/S patterned EUV mask.

JM3_15_1_013510_f002.png

Fig. 3

Schematic explanation of image contrast of EUV mask obtained by (a) DUV and (b) EB inspection tools.

JM3_15_1_013510_f003.png

As a result, bright and dark areas in the DUV and EUV images directly correspond to the ML and absorber parts.1,27 On the other hand, in the EB inspection system, the secondary electron emission coefficient (SEEC) determines the material contrast on the SE image.9,19,21,23 The SEEC of the absorber is larger than that of the ML. Therefore, the bright and dark areas in the EB image correspond to the absorber and ML parts as shown in Fig. 2.9,19,21,23,27 The defect image contrast is also influenced by the defect size and shape. In the cases of pinhole and intrusion defects, as the defects become smaller, the signals from the ML become lower in both cases of DUV and EB images. Hence, the contrast of those kinds of small defect signals becomes low in the case of DUV image, but in the case of EB image, it becomes high as shown in Fig. 4.9,21,23

Fig. 4

Experimentally obtained SEM image of a 30-nm-sized pinhole defect on hp 88-nm L/S pattern and its signal intensity profile (superimposed).

JM3_15_1_013510_f004.png

The intensity profile of a pinhole defect is much weaker than that of the ML level. This is because the SEs from the bottom of the pinhole are blocked by the side walls of the defect.9,21,23 We had already reported that the PEM detectability of intrusion defects was better than that of extrusion defects as the defect size became small.9,21,23 On the other hand, the printability does not coincide with the detectability in the case of EB inspection due to the reversed contrast.

Figure 5 shows simulated printability of the intrusion and extrusion defects on the hp 64-nm L/S pattern. The impact of an extrusion defect on printability is larger than that of an intrusion one.14 Therefore, the inspection condition should focus on the detection of an extrusion defect due to its better printability. We had also reported that a landing energy with 250 eV created the most sensitive condition to detect the 16-nm-sized intrusion defect, whereas in the case of same size of an extrusion defect, 1000 eV created the most sensitive condition.9 Because the detectability of an extrusion defect degrades under the condition of 250 eV, the landing energy should be set to 1000 eV to detect more printable defects by taking into account the printability.

Fig. 5

Simulated printability of intrusion and extrusion defects on hp 64-nm L/S pattern.

JM3_15_1_013510_f005.png

3.2.

Impact of Line Edge Roughness on Defect Detectability of Projection Electron Microscope Inspection System

Figure 6 shows the defect detection mechanism of the PEM. The defect signal is determined by the summation of gray-level difference between defect and base pattern, similar to a DUV inspection system.14 Defect signal intensity is defined as the ratio between the intensity peak and the standard deviation of the background noise in the difference image. Therefore, as the LER becomes large, the background noise of the base pattern becomes large in the difference image as shown in Fig. 7(a).

Fig. 6

Schematic explanation of defect detection mechanism of PEM: (a) defect signal in base pattern and (b) defect signal intensity in difference image.

JM3_15_1_013510_f006.png

Fig. 7

Dependence of LER on (a) background noise of difference image and (b) defect detectability.

JM3_15_1_013510_f007.png

As a result, the defect signal intensity degrades with LER as shown in Fig. 7(b). However, this result also indicates that the detectability of extrusion and intrusion defects keeps its 10 sigma below 2 nm LER.

3.3.

Defect Detectability of Scanning Electron Microscope Inspection System

In order to investigate the nature of the pattern inspection with EB, the detectability of the SEM inspection system was evaluated. If the electron dose per unit area and the landing energy are the same, the gray level of the defect signal intensity in the difference image is the same between PEM and SEM when the same algorithm as PEM is used. The strong edge effect on an SEM image can enhance the defect signal intensity. However, the background noise derived from the LER is also enhanced. When the defect size is significantly larger than LER, a binarization process can take advantage of a higher resolution of the SEM. In this process, if the signal from a pixel is larger than a threshold, the absolute value of the signal intensity from the pixel becomes unity. On the other hand, if the signal is smaller than the threshold, the signal intensity becomes zero.

Figure 8 shows the simulated SEM images with resolutions of 1.5 nm, and the difference image using the image processing algorithm with the binarization process. As shown in Figs. 8(a2) and 8(b2), the binarized defect signals and the background noise in a difference image become 1 or 1. Pixel-sized signals, such as the background noise derived from the LER, are not printable defects. Moreover, because the signal area of the background noise is much smaller than that of the defect signal, the noise can be removed by a noise canceling process as shown in Figs. 8(a3) and 8(b3). This result shows that the high resolution leads to a robust detectability. However, in order to improve the throughput of the SEM inspection system, a lower resolution with a shorter dwell time is required. The resolution and dwell time correspond to the pixel size and number of electrons per pixel of the SEM image, respectively.

Fig. 8

Simulated SEM image with 16-nm-sized defects on hp 64-nm L/S pattern and the difference images with image processing algorithm for SEM using binarization process before and after canceling the noises, with [(a1) through (a3)] 1 nm LER and [(b1) through (b3)] 2 nm LER, respectively. The resolution (pixel size of the image) is 1.5 nm, and the electron dose per unit area is the same as in PEM.

JM3_15_1_013510_f008.png

Figure 9 shows the dependence of the number of electrons per pixel on the detectability for the case of 6 nm resolution. A pixel size of 6 nm was the largest resolution to detect the 16-nm defect on the hp 64-nm L/S pattern with 1 nm LER. In the case with more than 100 electrons per pixel, all defects are detected. However, in the case of 50 electrons per pixel, pin-dot and intrusion defects are not detected, and a false defect is observed.

Fig. 9

Difference images with the resolution (pixel size of the image) of 6 nm before and after noise canceling process: number of electrons per pixel are [(a1) through (a2)] 200, [(b1) through (b2)] 100, and [(c1) through (c2)] 50, respectively. Sample target of the simulation is same as Fig. 8(a1) (1 nm LER).

JM3_15_1_013510_f009.png

Figure 10 shows the standard deviation of the background noise in a difference image before the noise canceling process [as shown in Figs. 9(a1), 9(b1), and 9(c1)] as a function of the number of electrons per pixel. As the number of electrons becomes large, the background noise becomes low and shows asymptotic behavior. The asymptotic value is determined by the LER. If the LER is reduced to zero, the value approaches zero. This result shows that 100 electrons per pixel is a required condition for detection, but 200 electrons per pixel is a more robust condition. In the same manner, a pixel size of 3 nm was found to be the largest resolution to detect the 11-nm-sized defect on the hp 44-nm L/S pattern with 1 nm LER. Moreover, it was also found that 100 electrons per pixel is a required condition for detection, but 200 electrons per pixel is a more robust condition. The required pixel size to detect the defect can be approximated by the following equation:

Eq. (1)

pd22,
where p and d are the sizes of pixel and defect, respectively.

Fig. 10

Standard deviation of difference image before noise canceling process [as shown in Figs. 9(a1), 9(b1), and 9(c1)] as a function of number of electrons per pixel.

JM3_15_1_013510_f010.png

In real applications, there exist L/S patterns with LER aligned with 45 deg angle to a horizontal line as shown in Fig. 11. Moreover, when the center of a defect is located just on the corner of the pixels, this is the worst-case situation for defect detection. If at least one pixel is covered by the defect, the defect detection capability becomes more robust under the condition of 200 electrons per pixel.

Fig. 11

Relationship between defect size and pixel size in the binarization process: (a) not detectable case and (b) detectable case. The L/S patterns are aligned with 45 deg angle to a horizontal line.

JM3_15_1_013510_f011.png

However, if a part of the pixel is not covered by the defect as shown in Fig. 11(a), the probability that the signals from the pixel exceed the threshold level reduces. This leads to a degradation in the defect detection capability. Hence, in this situation, all of the nearest four pixels need to be covered by the defect as shown in Fig. 11(b). This condition is expressed as Eq. (1). According to the condition, the required pixel sizes for 16- and 11-nm-sized defects are estimated as 6 and 4 nm; these values are almost in agreement with the simulation results of 6- and 3-nm-sized pixels, respectively. In the case of an 11-nm defect, the simulation result is smaller than the estimated value. This result shows that the impact of LER becomes large as the defect size becomes small. As a result, a smaller-sized pixel (higher resolution) is required to detect a smaller-sized defect. Malloy et al. have reported that the high-throughput image acquisition of EUV mask can be demonstrated using a multibeam SEM with 69 beams with currents of 672 pA in high-resolution mode.7 By using this beam current, we can estimate the throughput to inspect the EUV mask with 100×100-mm-sized patterned area. The dwell time of 48 ns on a 6- and 3-nm pixel is needed to accumulate 200 electrons per these pixels. As a result, the throughput using a 69-beam SEM is found to be 58 and 232 h to scan the whole pattern area of EUV mask and to detect the 16- and 11-nm-sized defect on the hp 64-nm and hp-44 nm L/S pattern (16- and 11-nm node), respectively. On the other hand, in the PEM for the 16-nm node, because the TDI sensor has 2048 pixels, a 32.8-μm-wide image can be simultaneously acquired with a processing rate of 600 MPPS. Therefore, a throughput of 19 h can be achieved. However, in order to detect the 16-nm-sized defect on the hp 64-nm L/S pattern, 3000 electrons per pixel (16 nm) are required in PEM.9 These results show that the required number of electrons per pixel for SEM to detect a same sized defect is an order of magnitude lower than that for a PEM due to the SEM’s higher resolution.

Table 1 shows the performance comparison between PEM and multibeam SEM inspection system. In the PEM for the 11-nm node, which is now being developed, a throughput of 8 h with a pixel size of 11 nm is designed. In order to achieve the same throughput as PEM, 200 to 1850 beams are required in the multibeam SEM.

Table 1

Comparison between multibeam SEM and PEM.

SEMTarget defect size (nm)Pixel size (nm)Number of beamsThroughput (h)
16620018.5
11318508.0
PEMTarget defect size (nm)Pixel size (nm)Swath (mm)Throughput (h)
161632.819 (600 MPPS)
111122.58 (>1.5  GPPS)

4.

Summary and Conclusions

Defect detectability using EB inspection for an EUV mask was investigated by comparing the PEM and SEM inspection systems. The detectability with EB does not concur with printability because the contrast of the EUV aerial image and the EB image for the EUV mask are reversed. Although the detectability of an intrusion defect is better than that of an extrusion defect in PEM, the impact of an extrusion defect on printability is larger than that of an intrusion one. Therefore, the inspection condition should focus on the detection of an extrusion defect to detect more printable defects. The detectability for a 16-nm-sized defect on the hp 64-nm L/S pattern was acceptable below 2 nm LER (3 sigma) in both PEM and SEM by applying a special algorithm for image processing. Pixel sizes of 6 and 3 nm were the lowest resolutions to detect the 16- and 11-nm defect on the hp 64-nm and 44-nm L/S pattern with 1 nm LER for SEM inspection with an algorithm using the binarization and noise canceling processes, respectively. The accumulation of 100 electrons per pixel was a required condition for detection, but that of 200 electrons per pixel is found to be a more robust condition. This number was one order of magnitude lower than in the case of PEM due to the higher resolution for SEM. In order to achieve the same throughput as PEM, 250 to 1850 beams are required in the multibeam SEM.

Acknowledgments

The authors would like to thank Dr. Y. Midoh of Osaka University for his valuable advice for operating the simulator. This work was supported by New Energy and Industrial Technology Development Organization.

References

1. 

H. Hashimoto et al., “Development of a new mask pattern inspection tool NPI-7000, and applied results to EUV mask inspection,” Proc. SPIE, 8441 844117 (2012). http://dx.doi.org/10.1117/12.973655 PSISDG 0277-786X Google Scholar

2. 

M. Miyoshi et al., “Electron beam inspection system based on the projection imaging electron microscope,” J. Vac. Sci. Technol. B, 19 2852 (2001). http://dx.doi.org/10.1116/1.1421561 JVTBD9 1071-1023 Google Scholar

3. 

S. Yamaguchi et al., “Performance of EBeyeM for EUV mask inspection,” Proc. SPIE, 8166 81662F (2011). http://dx.doi.org/10.1117/12.898790 PSISDG 0277-786X Google Scholar

4. 

M. Mankos et al., “Electron optics for high throughput low energy electron microscopy,” Surf. Sci., 601 4733 –4741 (2007). http://dx.doi.org/10.1016/j.susc.2007.05.062 Google Scholar

5. 

V. Marx, “Neurobiology: brain mapping in high resolution,” Nature, 503 147 –152 (2013). http://dx.doi.org/10.1038/503147a Google Scholar

6. 

A. Keller, D. Zeidler and T. Kemen, “High throughput data acquisition with a multi-beam SEM,” Proc. SPIE, 9236 92360B (2014). http://dx.doi.org/10.1117/12.2069119 PSISDG 0277-786X Google Scholar

7. 

M. Malloy et al., “Massively parallel e-beam inspection: enabling next-generation patterned defect inspection for wafer and mask manufacturing,” Proc. SPIE, 9423 942319 (2015). http://dx.doi.org/10.1117/12.2175535 PSISDG 0277-786X Google Scholar

8. 

M. Enyama et al., “Optical system for a multiple-beam scanning electron microscope,” J. Vac. Sci. Technol. B, 32 051801 (2014). http://dx.doi.org/10.1116/1.4891961 JVTBD9 1071-1023 Google Scholar

9. 

S. Iida et al., “Extreme ultraviolet mask defect inspection with a half pitch 16-nm node using simulated projection electron microscope images,” J. Micro/Nanolith. MEMS MOEMS, 12 023013 (2013). http://dx.doi.org/10.1117/1.JMM.12.2.023013 Google Scholar

10. 

T. Chang et al., “Multiple electron-beam lithography,” Microelectron. Eng., 57–58 117 –135 (2001). http://dx.doi.org/10.1016/S0167-9317(01)00528-7 MIENEF 0167-9317 Google Scholar

11. 

R. Tromp et al., “A new aberration-corrected, energy filtered LEEM/PEEM instrument. I. Principles and design,” Ultramicroscopy, 110 852 –861 (2010). http://dx.doi.org/10.1016/j.ultramic.2010.03.005 ULTRD6 0304-3991 Google Scholar

12. 

R. Tromp et al., “A new aberration-corrected, energy filtered LEEM/PEEM instrument. II. Operation and results,” Ultramicroscopy, 127 25 –39 (2013). http://dx.doi.org/10.1016/j.ultramic.2012.07.016 ULTRD6 0304-3991 Google Scholar

13. 

K. Grzelakowski, “A novel imaging energy filter for cathode lens electron microscopy,” Ultramicroscopy, 116 95 –105 (2012). http://dx.doi.org/10.1016/j.ultramic.2012.03.010 ULTRD6 0304-3991 Google Scholar

14. 

R. Hirano et al., “Development of extreme ultraviolet mask pattern inspection technology using projection electron beam optics,” J. Micro/Nanolith. MEMS MOEMS, 12 021003 (2013). http://dx.doi.org/10.1117/1.JMM.12.2.021003 Google Scholar

15. 

R. Hirano et al., “Patterned mask inspection technology with projection electron microscope technique on extreme ultraviolet masks,” J. Micro/Nanolith. MEMS MOEMS, 13 013009 (2014). http://dx.doi.org/10.1117/1.JMM.13.1.013009 Google Scholar

16. 

M. Hatakeyama et al., “Development of inspection system for EUV mask with novel projection electron microscopy (PEM),” Proc. SPIE, 8880 888028 (2013). http://dx.doi.org/10.1117/12.2027733 PSISDG 0277-786X Google Scholar

17. 

H. Watanabe et al., “EUV patterned mask inspection system using a projection electron microscope technique,” Proc. SPIE, 8880 88800U (2013). http://dx.doi.org/10.1117/12.2027566 PSISDG 0277-786X Google Scholar

18. 

S. Iida et al., “Identification of residual-type defect on extreme ultraviolet mask by projection electron microscope using Monte Carlo simulation,” J. Vac. Sci. Technol. B, 30 (6), 06F503 (2012). http://dx.doi.org/10.1116/1.4758924 JVTBD9 1071-1023 Google Scholar

19. 

S. Iida et al., “Impact of electron scattering in extreme ultraviolet reflective multilayer on electron image,” J. Vac. Sci. Technol. B, 31 (6), 06F601 (2013). http://dx.doi.org/10.1116/1.4819300 JVTBD9 1071-1023 Google Scholar

20. 

S. Iida et al., “Analysis of image distortion on projection electron microscope image,” Jpn. J. Appl. Phys., 53 116602 (2014). http://dx.doi.org/10.7567/JJAP.53.116602 Google Scholar

21. 

S. Iida et al., “Impact of B4C capping layer for extreme ultraviolet mask on the sensitivity of patterned mask inspection using a projection electron microscope,” J. Micro/Nanolith. MEMS MOEMS, 13 043015 (2014). http://dx.doi.org/10.1117/1.JMM.13.4.043015 Google Scholar

22. 

S. Iida et al., “Simulation technique for pattern inspection using a projection electron microscope,” J. Vac. Sci. Technol. B, 33 (6), 06FN02 (2015). http://dx.doi.org/10.1116/1.4931932 JVTBD9 1071-1023 Google Scholar

23. 

S. Iida et al., “Pattern inspection of etched multilayer extreme ultraviolet mask,” J. Micro/Nanolith. MEMS MOEMS, 15 021002 (2016). http://dx.doi.org/10.1117/1.JMM.15.2.021002 Google Scholar

24. 

R. Hirano et al., “Extreme ultraviolet lithography patterned mask defect detection performance evaluation toward 16- to 11-nm half-pitch generation,” J. Micro/Nanolith. MEMS MOEMS, 14 033512 (2015). http://dx.doi.org/10.1117/1.JMM.14.3.033512 Google Scholar

25. 

S. Babin et al., “CHARIOT: software tool for modeling SEM signal and e-beam lithography,” Phys. Procedia, 1 305 –313 (2008). http://dx.doi.org/10.1016/j.phpro.2008.07.110 PPHRCK 1875-3892 Google Scholar

26. 

C. Clifford et al., “Compensation methods using a new model for buried defects in extreme ultraviolet lithography masks,” Proc. SPIE, 7823 78230V (2010). http://dx.doi.org/10.1117/12.868281 PSISDG 0277-786X Google Scholar

27. 

A. Garetto et al., “AIMS EUV first light imaging performance,” Proc. SPIE, 9235 92350N (2014). http://dx.doi.org/10.1117/12.2068308 PSISDG 0277-786X Google Scholar

Biography

Susumu Iida received his BS and MS degrees in 1995 and 1997, respectively, and his PhD in electronics in 2000, all from Shizuoka University, Japan. He joined the Research and Development Center, Toshiba Corporation. In 2011, he was assigned to EIDEC, and since then he has been engaged in the development of patterned mask inspection.

Ryoichi Hirano received his BS and MS degrees in instrumentation engineering from Keio University in 1984 and 1986, respectively. He has joined Toshiba Corporation, where he carried out research on semiconductor equipment technology. In 2011, he was assigned to EIDEC, and since then, he has been engaged in the development of patterned mask inspection.

Tsuyoshi Amano received his BS and MS degrees in applied chemistry from Keio University in 1997 and 1999, respectively, and PhD degree in engineering from University of Hyogo in 2013. He joined Dai Nippon Printing Co. Ltd., where he carried out research on mask process, metrology, and repair technology. In 2011, he was assigned to EIDEC, and since then, he has been engaged in the development of patterned masks and blank inspection tools.

Hidehiro Watanabe received his BS and MS degrees in mineralogy from the University of Tokyo in 1983 and 1985, respectively. He has joined Toshiba Corporation, where he carried out his engineering duties in the area of photo mask. In 2011, he was assigned to EIDEC, and since then, he has been working as a General Manager of advanced mask research department.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Susumu Iida, Ryoichi Hirano, Tsuyoshi Amano, and Hidehiro Watanabe "Investigation of defect detectability for extreme ultraviolet patterned mask using two types of high-throughput electron-beam inspection systems," Journal of Micro/Nanolithography, MEMS, and MOEMS 15(1), 013510 (22 March 2016). https://doi.org/10.1117/1.JMM.15.1.013510
Published: 22 March 2016
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Inspection

Scanning electron microscopy

Defect detection

Extreme ultraviolet

Line edge roughness

Image processing

Image resolution

Back to Top