Open Access
20 May 2021 Investigation of waveguide modes in EUV mask absorbers
Author Affiliations +
Abstract

Background: Explaining imaging phenomena in EUV lithography requires more than a single point of view. Traditionally, the diffraction characteristics of EUV masks are analyzed in terms of the amplitude and phase of diffraction orders that are generated by the absorber pattern.

Aim: We propose a complementary perspective to view the EUV mask absorber openings as waveguides.

Approach: Comparisons between RCWA simulations and analytical solutions to waveguide equations are performed to prove that EUV mask absorbers behave as a waveguide.

Results: This perspective can explain phenomena left unexplained by conventional analysis of far-field diffraction orders.

Conclusions: The waveguiding effect in EUV mask absorbers explains the need for low refractive index and high extinction materials. The waveguide perspective explains why attenuated phase shift masks behave differently for EUV than our traditional understanding would suggest.

1.

Introduction

Since the first reports on the rigorous electromagnetic modeling of masks for extreme ultraviolet lithography (EUV) using finite-difference time-domain techniques,1 many different modeling techniques have been developed and applied to study the imaging characteristics of EUV masks. The special geometry of defect-free EUV masks and the low refractive index contrast of all materials at a wavelength of 13.5 nm can be exploited for efficient rigorous modeling of EUV masks by rigorous coupled-wave analysis (RCWA) and other spatial frequency-based techniques.24 Although these rigorous methods provide accurate results, they offer only limited insight into the underlying physical and optical phenomena. Geometric shadowing models5,6 provide certain insight, but they fail to predict several important phenomena in high numerical aperture (NA) EUV imaging. Various forms of hybrid mask models have been developed to enable an explicit consideration of double diffraction of EUV light at the absorber and to separate the contributions of the absorber and the multilayer to the imaging characteristics.79 In addition to such models, the analysis of diffraction orders,1012 specific investigations of multilayer contributions,13,14 and the study of images from separate parts of the source15,16 help to explain mask 3D (M3D) effects and to devise mitigation strategies.17 Various modeling studies have been performed to identify the most appropriate absorber materials for EUV masks.11,1520 These studies also include attenuated phase shift mask (AttPSM) for EUV. The results of these studies suggest the usage of absorbers with high extinction coefficient k and/or with low refractive index n. Changing the phase shift from π to 1.2π was suggested for AttPSM.11 The advantages of thin absorbers with high extinction can be understood in terms of shadowing arguments. However, the described modeling approaches fail to provide a complete understanding of the physical root causes of the good performance of low n materials. This work explains why the refractive index n has a strong impact on the performance of binary masks. We also demonstrate that the phase shift of the absorber (product of n and absorber thickness) is not the most appropriate design knob for AttPSM in EUV. The investigations of this work are triggered by the observation of waveguide-like modes in the simulated near-field of EUV masks.16 Waveguiding effects in EUV were also observed in modeling studies on EUV lithography with an NA of 0.9921 and in coherent diffractive imaging using EUV light.22 This work perceives the patterned mask absorber as a waveguide. This perspective is not a model to explain all phenomena in EUV imaging scenarios, but a model to explain phenomena that remain unexplained by traditional EUV mask models and to provide an alternative and complementary point-of-view to identify the root causes of certain effects in EUV imaging. The typical EUV mask materials have a refractive index below 1.0, so the most optically dense medium on the mask is the open space (vacuum, n=1.0). The waveguiding effects are more prominent for EUVL than DUVL (deep ultraviolet lithography) because of the thicker absorber compared with the wavelength in the case of EUVL. The waveguide perspective offers a deeper insight into the root causes of M3D effects. This work focuses mainly on TE waveguide modes and vertical spaces in high NA of 0.55 in EUVL.

Section 2 of this paper proves that patterned EUV mask absorbers operate similar to waveguides. The properties of waveguide modes for typical EUV materials and geometry parameters are investigated in Sec. 3. Section 4 shows the interaction between waveguide modes and diffraction orders. Finally, the link of the observed waveguiding effects to selected imaging characteristics of high-NA EUV lithography is presented in Sec. 5.

2.

Theoretical Background and Proof of Waveguiding Effect in EUV Mask Absorbers

The EUV mask absorber guides the light through vacuum (spaces) in the mask absorber structure for the typical absorber properties (n<1.0). This guiding mechanism bears geometrical and physical similarities to the waveguide structure (Fig 1). By removing the reflective multilayer from the EUV mask structure in Fig. 1(a) and extending the mask absorber, a waveguide-like structure, resembling Fig. 1(b), remains. This similarity is further investigated by comparing the downward propagating near-field distribution from both the analytical expression and the rigorous simulation of the same planar waveguide structure.

Fig. 1

(a) Schematic of an EUV mask. Removing the multilayer and extending the absorber (solid green) yields a similar structure to a waveguide. (b) A planar waveguide structure with slit width of d and length W. The space pattern in the EUV mask is represented by d, and W resembles the absorber thickness. Incidence light goes from right to left, and a series of total internal reflections guides the light through the waveguide.

JM3_20_2_021004_f001.png

Waveguide modes are the eigenvalue solutions of the Maxwell equations. They represent a characteristic electromagnetic field distributions confined in a given geometry. The wave equation (2E+ω2μϵE=0) for a parallel plate geometry [Fig. 1(b)] is solved under the following assumptions:

  • Infinite length in x and z. W and x(perpendicular to the paper plane)

  • y=0, x0, z0 yields the constraints on the mode spatial frequency, known as the cutoff frequency:

    Eq. (1)

    fc=m2d,
    where m=1,2,3, and d is the slit width. This constraint determines the highest order of the propagating modes. The waveguide accommodates modes with spatial frequencies higher than the cutoff frequency, which is the shortest supported spatial frequency inside the waveguide:

    Eq. (2)

    tan(kfxd)=2γkfx1γ2(kfx)2,
    where kfx=k0ncore2n˜eff2 is the propagation constant in the x direction, d is the slit opening, and γ=kfz2k02n˜2 is the attenuation coefficient. k0=2πλ is the wave number. The right side of the equation is represented by f1 in Fig. 2(a) and the left side by f2 in the same figure. EUVL machines have a vacuum-sealed environment resulting in ncore=1.

Fig. 2

Graphical solutions for the dispersion equation in the case of (a) lossless (n˜eff is real) and (b) and (c) lossy (n˜eff is complex). Solutions are the intersections of left side (f1) and right side (f2 TE) of Eq. (2) in (a). (b), (c) In the case of lossy material, the difference between the left side and right side of the equation is shown in the color map. (b) The even modes solutions and (c) the odd mode solutions.

JM3_20_2_021004_f002.png

The light propagation in the structure shown in Fig. 1 with a cladding material of n˜=n+jk and a vacuum space can be described by the Helmholtz equation. Solving the Helmholtz equation with respect to the geometry boundaries results in the dispersion equation shown in Eq. (2) for transverse electromagnetic (TE) modes in the waveguide.23,24 TE modes are electromagnetic field distributions with the electric field component only in the y-axis direction, which satisfies our second assumption in the wave equation solution. This dispersion equation can be solved graphically for both lossless and lossy25 waveguides. Graphical solutions to the dispersion equation are shown in Fig. 2. The graphical solution of the dispersion equation for a lossless waveguide (n˜=0.95+0.0j) is given by the intersection of f1 [right side of Eq. (2)] and f2 [left side of Eq. (2)] in Fig. 2(a). For an absorbing material, the refractive index n˜ becomes a complex number (n˜=0.95+0.031j, lossy). Figures 2(b) and 2(c) show that the zeros of the dispersion equation [Eq. (2)] can only be found in the complex plane.26 The solution to the dispersion equation provides a solution in the form of modes that is expressed by the closed form n˜eff=neff+jkeff, where the real part represents the effective refractive index neff and the imaginary part represents the effective extinction keff. It can be shown that neff=ncoresin(θ), where θ is the mode excitation angle; hence nabsorber<neff<1 as ncore=1 in the EUVL case. Even modes refer to modes with even symmetry (Fig. 3, green). Odd modes are modes with odd symmetry (Fig. 3, pink). This closed form can be visualized as electromagnetic field distributions using Eq. (3), which yields TE0 (first even mode) and TE1 (first odd mode) as shown in Fig. 3. The prefactor cos(kfxd2) in the first and last line of Eq. (3) is independent from x and guarantees a continuous transition between the modes in the waveguide core and the exponential decay in the cladding. The waveguide modes will propagate along the waveguide if and only if they satisfy the cutoff constraints in Eq. (1). The solution of the dispersion equation [Eq. (2)] provides the mode properties (n˜eff), and Eq. (3) determines the shape of the mode:

Eq. (3)

E=y^{cos(kfxd2)eγ(xd2)ejβz,x>d2cos(kfxx)ejβz,d2xd2cos(kfxd2)eγ(x+d2)ejβz,x<d2,
where β=k02n˜2kfx2=kfz is the propagation constant in the z direction. This relation is obtained from the plane wave equation as kfx2+β2=k02n2.

Fig. 3

Mode shapes for a slit width of 32 nm (8 nm wafer size) and n˜=0.95+0.031j, which corresponds to TaBN.

JM3_20_2_021004_f003.png

RCWA, referred to as the waveguide method, is a rigorous method for solving Maxwell equations. It employs Fourier expansion of the electromagnetic field and the optical properties to express the solution. Dr. LiTHO, a research and development lithography simulator from Fraunhofer IISB, was used to run the RCWA simulations.27 To test the waveguiding effect of the EUV absorber, a structure with 800-nm-thick TaBN and 32 nm vertical space (8 nm wafer size, 4× demagnification) is considered. The large thickness of the absorber for the majority of the simulations in this section is chosen to demonstrate the perfect numerical match between computed modes and RCWA results for thick absorbers and to discuss the beating effects, which result from the interference between different modes (see Sec. 3.4). The simulation results at the end of this paragraph (see Fig. 6) demonstrate that the characteristic mode behavior can be already observed for much thinner absorbers. We simulate the downward propagating near-field inside this structure using both the analytical expression for planar waveguide and the RCWA (Fig. 4, analytical and RCWA). A comparison between the resulting downward propagating near-field of the two methods was performed (Fig. 4, difference). In this case, the structure allows the propagation of two modes (TE0 and TE1) according to Eq. (1). However, the first-order odd mode TE1 with odd symmetry around the x-axis is not excited by the used vertical incident light. For this basic configuration with a single propagating mode, both the analytical and RCWA solutions match, with the only difference being the diffraction at the edges of the absorber in the RCWA. This difference is due to the infinite length assumption in the analytical solution, which is not true in the case of a mask absorber structure simulated in RCWA. Figure 5 shows a normalized simulated cross section at the vertical center of the absorber (at z=400  nm) for both the analytical solution and the RCWA simulation. These comparisons are reported in Figs. 4 and 5 and indicate that EUV mask absorbers can be viewed as waveguides. Figure 6 shows the integrated difference ΔIDifference between the rigorously computed field inside the waveguide core and the analytical results versus the penetration depth into the slit. To compute it, the difference of the rigorous and analytical solutions from Fig. 4 is integrated versus the lateral coordinate x and normalized by the integral of the analytical solution along x. The data in Fig. 6 suggest that the waveguide modes carry most of the energy inside the absorber. The difference between the analytical and RCWA solutions drops to <15% after 50 nm in the z direction. Considering that the EUV light propagates through the absorber twice, it becomes clear that the waveguide modes have a significant impact on the back-reflected light from EUV mask with <50-nm-thick absorbers. This finding is confirmed by diffraction and imaging effects as discussed in Secs. 4 and 5.

Fig. 4

(a) Analytically computed mode. (b) Computed near-field using RCWA. (c) Difference between analytical and RCWA solutions.

JM3_20_2_021004_f004.png

Fig. 5

Normalized simulated cross section plot at z=400  nm in the near-fields from both analytically and RCWA computed results.

JM3_20_2_021004_f005.png

Fig. 6

The integrated difference ΔIDifference between the rigorously computed field inside the waveguide core and the analytical results versus the penetration depth into the slit. The data suggest that the waveguide modes carry most of the energy inside the absorber.

JM3_20_2_021004_f006.png

The configuration with a 32-nm slit opening (8 nm opening on wafer), n˜=0.95+0.031j and vertical illumination allows the propagation of only a single mode (i.e., TE0). Additional modes copropagate in the waveguide if one of the following occurs:

  • Oblique illumination: the odd modes excite in the waveguide, which is not excited in vertical incidence due to symmetry.

  • Higher Δn˜: more modes propagate in the waveguide according to Eq. (2).

  • Wider slit width: higher modes propagate in the waveguide according to Eq. (1).

3.

Effects of Geometry and Material Optical Parameters on Waveguide Modes

EUV absorbers change with the mask design in terms of material optical properties, thicknesses, and feature sizes. Optical properties and thickness of the mask absorber influence the amplitude and phase of transmitted and reflected light and, hence, the performance of the lithography mask.28 The width of the absorber opening (slit width) varies depending on the target structure and the used mask bias. In this section, the effects of those parameters on waveguide modes and mode properties are explored. Their effect on the imaging performance will be discussed in the following section.

The amplitude and phase of the propagating modes are determined by fitting the modes to the cross section of the near-field from the RCWA simulation using

Eq. (4)

Ewg=i=1nCiejϕiEiTE,
where EiTE is the propagating mode inside the waveguide and Ewg is the total field inside the waveguide. The fitting parameters Ci and ϕi project the fields as obtained by RCWA simulations onto the basis functions represented by analytical waveguide modes. They are used to construct the propagating near-field, e.g., in Fig. 7.

Fig. 7

Downward propagating near-field inside the absorber and the difference between the analytically computed modes and the near-field inside the absorber from the RCWA simulation. The shown figure is for a slit opening of 8  nm×4 (in mask scale), TaBN absorber (n=0.95, k=0.031), and incidence angle of 5 deg.

JM3_20_2_021004_f007.png

3.1.

Oblique Illumination

Off-axis illumination excites odd modes as well, due to the absence of symmetry that existed in the vertical incidence, the condition that caused the cancellation of odd modes. This is shown in Fig. 7, which shows a downward propagating near-field comparison between the analytical waveguide solution [solution to Eq. (2)] and the RCWA simulation. This comparison is done for a 32-nm slit opening (8 nm on wafer), TaBN absorber, and 5-deg incidence angle. The cross section plot at the absorber center is shown in Fig. 8. This configuration allows two modes to co-propagate inside the waveguide: TE0 and TE1. These modes are constructed using Eq. (4).

Fig. 8

Normalized simulated cross section plot at z=400  nm of the near-field inside the TaBN absorber with a vertical slit width of 8  nm×4 (in mask scale) and an incidence angle of 5 deg.

JM3_20_2_021004_f008.png

3.2.

Wider Slit Width

The slit width can change either by changing the feature size or by adding bias on the mask. Increasing the slit width allows higher order modes to propagate inside the waveguide. The cutoff frequency (fc), which is the shortest supported spatial frequency inside the waveguide, decreases with the slit width as indicated in Eq. (1). Figure 9 shows the change in the downward propagating near-field inside a TaBN absorber with n˜=0.95+0.031j versus the slit width. The increased number of modes is indicated by the interference pattern inside absorbers with wider slit widths. The interference pattern is discussed in Sec. 3.4.

Fig. 9

Effect of increasing the slit width from 8  nm×4 to 16  nm×4 in (mask scale) on the downward propagating near-field inside the 800-nm-thick TaBN absorber (n˜=0.95+0.031j) illuminated vertically. The number of propagating modes increases with slit width, leading to less losses and an interference pattern inside the absorber.

JM3_20_2_021004_f009.png

Figure 10 shows the number of propagating modes versus slit width for different material optical parameters [Fig. 10(a): changing n and Fig. 10(b): changing k]. In the case of n˜=0.95+0.031j (Fig. 10, black line), three modes propagate (TE0, TE1, and TE2) for a slit width of 16  nm×4. As before, odd modes will not propagate in the absorber due to the symmetry. The construction of the near-field for the remaining modes (TE0 and TE2) is according to Eq. (4). This construction is shown in Fig. 11. Increasing the slit width reduces the effective extinction coefficients of the modes keff and the loss of light inside the absorber. At certain widths, depending on the n and k values, new higher order modes are excited as shown in Fig. 10.

Fig. 10

Relationship between the number of propagating modes in the waveguide and the slit width in wafer scale (4× demagnification). (a) The effect of changing n on the number of propagating modes with constant extinction k=0.031. (b) Effect of changing extinction k on the number of propagating modes inside an absorber with constant n=0.95.

JM3_20_2_021004_f010.png

Fig. 11

Downward propagating near-field inside the absorber and difference between the analytically computed modes and the near-field inside the absorber from the RCWA simulation. The shown data are computed for a slit opening of 16  nm×4 (in mask scale) and with TaBN absorber (n=0.95, k=0.031).

JM3_20_2_021004_f011.png

3.3.

Impact of the Optical Properties of the Absorber

Changing the optical parameters of the mask absorber affects the number of the propagating modes according to the relative difference of the optical parameters of the mask absorber and the surrounding medium. For EUV, the surrounding medium around the mask is vacuum; hence Δn˜=(1n)+jk. Figure 10 (a) shows that decreasing the refractive index n causes a transition to a higher number of propagating modes for a smaller slit width. Increasing the extinction coefficient k [Fig. 10 (b)] shifts the transition to a higher order propagating modes to a larger slit width. Figure 12 shows the impact of the refractive index n on the computed near-field distribution of EUV light for a vertical space of 8  nm×4, vertical illumination, and constant extinction k=0.031. Lower n absorbers exhibit a better waveguiding effect compared with the higher n absorbers. Figure 13 shows the impact of the extinction coefficient k on the computed near-field distribution of EUV light for a vertical space of 8  nm×4, vertical illumination, and constant extinction n=0.88. Higher k absorbers exhibit a better waveguiding effect compared with lower k absorbers. Higher k absorbers have a smaller number of propagating modes, while providing better waveguiding. For the k=0.01 case in Fig. 13, the light scattering at the absorber edges into the waveguide and the absorber is clearly visible. The attenuation of the light in the absorber increases with k, hence providing better light guiding through the absorber. The interference patterns for low k values in Fig. 13 indicate a decreased number of propagating modes for increased k values.

Fig. 12

Effect of increasing refractive index n for vertical spaces of 8  nm×4 from (a) 0.80 to (b) 1.0 with constant k=0.031 and vertical illumination. Number of modes decreases with increasing n. Losses increase with increasing n. Lower n absorber material exhibits better waveguiding effect than higher n counterpart.

JM3_20_2_021004_f012.png

Fig. 13

Effect of increasing extinction coefficient k for vertical spaces of 8  nm×4 from (a) 0.01 to (b) 0.07 with constant n=0.88 and vertical illumination. Number of modes decreases with increasing k. Losses increase with increasing k. Higher k absorber material exhibits better waveguiding effect than lower k counterpart.

JM3_20_2_021004_f013.png

Increasing Δn˜ affects the mode properties and mode losses. The relation between n of the absorber and n˜eff of the mode is shown in Fig. 14 for a vertical space of 8  nm×4 and 16  nm×4. Figure 14(b) shows keff versus n, which indicates that mode losses increase with increasing n. This explains the higher losses for higher refractive indexes in Fig. 12.

Fig. 14

(a) Relationship between neff and absorber n. (b) Relationship between keff and absorber n. For vertical space of 8  nm×4 (upper row) and vertical space of 16  nm×4 (lower row). Low n absorbers exhibit less mode losses than higher n absorbers and excite a higher number of propagating modes.

JM3_20_2_021004_f014.png

A comparison between the analytical waveguide solution and the rigorous simulation (RCWA) for a vertical space of 16  nm×4 slit width, n˜=0.88+0.031j, and illuminated vertically is shown in Fig. 15. This configuration excites the modes TE0, TE2, and TE4.

Fig. 15

Downward propagating near-field inside the absorber and the difference between the analytically computed modes and the near-field inside the absorber from the RCWA simulation. The shown figure is for a slit opening of 16  nm×4 (in mask scale) and with absorber parameters n=0.88 and k=0.031.

JM3_20_2_021004_f015.png

3.4.

Beating Length

The beating length is the period between constructive or destructive interference patterns of two or more modes copropagating in a waveguide. The effective refractive indexes (neff) of the modes take values between the material refractive index n and 1.0. The number of copropagating modes depends on the slit width and on the optical properties of the absorber material. This beating behavior has important effects on the relation between the refractive index of the absorber n and the phase of the transmitted/reflected light. The effective refractive indexes of the modes govern the superposition of modes and the resulting interference effects inside the absorber. The beating length is calculated as29

Eq. (5)

Lb=λΔneff,
where Δneff is the difference between effective refractive indexes of the propagating modes and λ is the wavelength. The interference of several copropagating modes generates interference patterns as shown in Figs. 11 and 15.

4.

Interaction Between Waveguide Modes and Diffraction Orders

This section investigates the interaction between waveguide modes (near-field) and diffraction orders (far-field). In EUV, the light passes through the absorber twice and exhibits double diffraction.79 The schematic shown in Fig. 16 shows a mask model8 with a thick absorber and a multilayer. The schematic indicates two types of fields: near-field in the form of waveguide modes inside the absorber and far-field in the form of diffracted light. This schematic can be considered a Bragg grating, which indicates coupling between waveguide modes and certain diffraction orders. The interaction between waveguide modes and diffraction orders for a Bragg grating was investigated by Taylor and Kwan.30 This coupling increases diffraction efficiency for the coupled diffraction order and decreases it for the neighboring order.

Fig. 16

Schematic of an EUVL mask with a thick absorber and multilayer illustrating double diffraction phenomenon. The incident light hits the absorber and diffracts for the first time. The light is guided after the first diffraction inside the absorber material. The multilayer reflects the light to be guided for the second time inside the absorber material. The light diffracts for the second time as it exits the absorber.

JM3_20_2_021004_f016.png

The coupling mechanism between the 0th and 1st orders can be seen from the overlap integral 31 (the area) between the diffraction orders and the waveguide mode TE0 in Fig. 17. For the presented slit width of 9.5  nm×4, the Littrow angle, where the imaging is a result of two-beam interference and the 0th and 1st orders are symmetric in the pupil, is calculated using the equation: θL=sin1(λ4×hp), where hp is the half-pitch in mask scale. As the duty ratio used is 1:1, the hp=slit width=9.5  nm×4 and θL5.1  deg. The Littrow angle (θL) defines the angle at which the overlap values of the 0th and 1st orders equate; hence, the diffraction efficiency equates. The diffraction efficiency of the 0th order is larger than the 1st order for angles smaller than θL and smaller for larger angles as shown in Fig. 18. The diffraction efficiencies shown in Fig. 18 are computed based on the overlap integrals as a ratio between the light coupled to the diffraction order and the light coupled from the incident wave to the waveguide mode.31

Fig. 17

Amplitude of diffraction orders (solid lines) and TE0 mode shape (dashed line) for incidence angles 0 deg, 5 deg, and 10 deg. The overlap integral between the diffraction orders and modes indicates the coupling angle. Computed for slit width=9.5  nm×4 and n˜=0.88+0.07j.

JM3_20_2_021004_f017.png

Fig. 18

Efficiency of diffraction orders (solid lines) and Littrow angle (θL, dashed line) versus incidence angle. Computed for slit width=9.5  nm×4 and n˜=0.88+0.07j.

JM3_20_2_021004_f018.png

In contrast to Figs. 17 and 18, which are computed based on analytical waveguide modes, Fig. 19 shows simulation results that have been obtained by RCWA. The diffraction efficiency for three reflected diffracted orders (1st, 0th, and +1st) are defined by the ratio between the intensity of diffracted and incident light. The characteristics of these curves, especially the enhanced coupling of light from the 0th to 1st diffraction orders, matches the analytical result from Figs. 17 and 18. The green dashed line in the figure represents the Littrow angle. The blue dashed line is the angular support of the multilayer used. It is calculated as θML=BW2×θL, where BW is the multilayer reflectivity bandwidth versus incidence angle.13 The first row in Fig. 19 shows the calculated efficiencies for a high n binary mask of n=1.0 and k=0.07. Changing n to 0.88 in the second row of the figure highlights the effect of n on the orders coupling. A low n increases the diffraction efficiency of diffraction orders as well as the sensitivity versus feature size and incidence angle. Coupling for the 1st can be seen around the Littrow angle for half-pitches below 10 nm, which causes a drop in the 0th order corresponding diffraction efficiency. The third row of the figure presents diffraction efficiencies of an AttPSM of n=0.9 and k=0.02. A low k enhances the coupling efficiency for the 1st order around the Littrow angle and below half-pitch = 10 nm. The enhanced coupling causes a large imbalance between the 0th and 1st orders, leading to a contrast drop in the resulting image. The contrast is considered for a two-beam interference image between the 0th and 1st orders. Beyond the multilayer angular support range (blue dashed line), the effect of the multilayer side-lobes can be seen in both the 0th and +1st orders.

Fig. 19

Diffraction efficiency (color map) versus incidence angle (x-axis) and half-pitch (hp, y-axis). The diffraction efficiency of three diffraction orders (1st, 0th, and +1st) is shown for three absorber materials. (a) A high n binary mask n˜=1.0+0.07j, (b) a low n binary mask n˜=0.88+0.07j, and (c) an AttPSM n˜=0.9+0.02j. Green dashed line: Littrow angle. Blue dashed line: multilayer angular support for the +1st order.

JM3_20_2_021004_f019.png

To highlight the coupling effect, a 100-nm-thick phase shift mask (PSM) is used to compute the diffraction efficiency versus half-pitch and incidence angle (Fig. 20). The thicker absorber provides a more stable mode formation inside, hence increasing the coupling effect between orders. Figure 20 shows a severe drop in diffraction efficiency of the 0th order at the Littrow angle. The 1st order has increased efficiency at the Littrow angle that extends to 15-nm half-pitch, leading to weaker contrast compared with the 40-nm absorber thickness case. At a very small incidence angle and small half-pitch of 6 nm, the +1st order couples to the waveguide modes, increasing the diffraction efficiency of the order beyond the multilayer angular support range. The coupling of the +1st order causes a drop in the efficiency at the corresponding angle and half-pitch in the 0th-order diffraction efficiency.

Fig. 20

Diffraction efficiency (color map) versus incidence angle (x-axis) and half-pitch (hp, y-axis). The diffraction efficiency is calculated for a 100-nm-thick PSM n˜=0.9+0.02j. Green dashed line: Littrow angle. Blue dashed line: multilayer angular support for the +1st order.

JM3_20_2_021004_f020.png

5.

Effects on Imaging

This section discusses the effect of the observed waveguiding on lithographic imaging. Specifically, NILS (normalized log slope of the image) and image shift between poles in dipole illumination for NA of 0.55 are discussed. The imaging effects are investigated for vertical one-dimensional features (space). Vertical spaces are more critical than horizontal features13,16 and have less propagating waveguide modes due to lower demagnification (4×) compared with horizontal (8×). The waveguide approach can be used to explain effects that far-field diffraction (Fourier) analysis or the simplified geometrical shadowing approach cannot explain.

Figure 21 shows cross sections of 16 nm (top) and 6 nm (bottom) vertical spaces for 32-nm pitch for different values of refractive index n. The images were simulated using Dr. LiTHO27 for coherent illumination (small pole, σ=0.1) at the CRAO (chief ray angle) and without obscuration. The figure presents two absorber thicknesses with extinction coefficient k=0.06, 60 nm [Fig. 21(a)], and 200 nm [Fig. 21(b)]. For the 16-nm features on a 60-nm thick absorber, only a small impact of the absorber refractive index on the observed cross sections can be seen. The impact of the refractive index increases for larger absorber thickness and smaller features. The high sensitivity of small features with respect to refractive index and thickness variations has important consequences for the design and the performance of assist features.

Fig. 21

Simulated aerial image cross sections for vertical spaces of 16 nm (top row) and 6 nm (bottom row) and 32 nm pitch, absorber thicknesses of (a) 60 nm and (b) 200 nm, and coherent illumination with a small pole of σ=0.1 without central obscuration and NA of 0.55. Note the effect on refractive index n on the intensity, especially for smaller slit widths.

JM3_20_2_021004_f021.png

The waveguide analysis from the previous section suggests that the smallest loss of light and largest cross section intensities are obtained for low refractive index absorbers. This tendency is clearly seen for the 200-nm-thick absorber. However, the scattering at the absorber edges impacts the amount of transmitted light for 60 nm absorbers (difference in Fig. 4). Therefore, no correlation between cross section intensities and the mode loss parameters is observed for the 60-nm absorber thickness.

A larger difference between the optical properties of the mask absorber and vacuum is expressed by an increased value of Δn˜. Increasing the extinction coefficient k will lead to an increase in the complex part of Δn˜. Increasing both the real and imaginary parts of Δn˜ provides a better waveguiding effect in the EUV mask absorber. Aerial image intensities for each individual pole are shown in Fig. 22, for a dipole illumination scenario with 20% circular obscuration for increasing k and n=0.95. Increasing k provides a better waveguiding effect, which reduces the image shift between the pole images, hence providing higher NILS values. Similarly, decreasing n will increase Δn˜, which leads to better waveguiding and less image shift between the individual poles, hence granting higher NILS values (Fig. 23 for k=0.031).

Fig. 22

Simulated aerial image cross sections for 16-nm vertical spaces and 32-nm pitch illuminated by a leaf-shape dipole of 20% filling ratio and 20% circular obscuration, absorber thickness=60  nm, and n=0.95. The extinction coefficient k increases from left to right. The image shift between the dipole images decreases with increasing k; hence, the NILS increases with increasing k.

JM3_20_2_021004_f022.png

Fig. 23

Simulated aerial image cross sections for 16-nm vertical spaces and 32-nm pitch illuminated by a leaf-shape dipole of 20% filling ratio, 20% circular obscuration, absorber thickness=60  nm, and k=0.031. Refractive index n increases from left to right. The image shift between the dipole images increases with increasing n; hence, the NILS decreases with increasing n.

JM3_20_2_021004_f023.png

Binary masks with low light transmission exhibit different behavior based on the refractive index n. Figure 24 (a) presents the variation of NILS with thickness for two binary masks with k=0.06 and a transmission of 0.1% at absorber thickness=60  nm. The nominal transmission of the masks is kept constant by maintaining losses (k×thickness) constant. The NILS values for the binary mask with a refractive index n of 0.95 [blue, Fig. 24(a)] drop for thicker absorbers. This expected performance of high k materials can be explained by shadowing effects of the mask.11,16 However, shadowing arguments cannot explain the increasing NILS for thicker absorbers for the n=0.88 binary mask [orange, Fig. 24(a)]. For attenuated PSM, such increased NILS could be attributed to phase effects. However, the result in Fig. 24 was obtained for a binary mask with a nominal (constant) transmission of 0.1%. Interference between light that is reflected from the top of the absorber and reflected light from the multilayer causes an additional swing effect but does not explain the general trend toward larger NILS for thicker absorbers. Therefore, the increase in NILS values versus thickness is a clear indication of mode formation inside the slit in the EUV absorber. Due to the reduced impact of scattering at the absorber edges and the increased refractive index contrast between absorber and vacuum, the mode formation in thicker absorbers is more distinct. A similar effect can be noted in Fig. 21. Contrary to the shadowing effect perspective, thinner mask absorbers are not always better. Figure 24 (b) shows the variation of NILS multiplied by the square root of threshold-to-size (THR) versus absorber thickness. It follows the same trend as NILS versus absorber thickness due to constant transmission; hence, THR remains constant versus thickness. NILSTHR is a metric used to track contrast and throughput to ensure a satisfactory local critical dimension uniformity.32

Fig. 24

(a) Simulated NILS and (b) NILS multiplied by THR for 16-nm vertical space with a pitch of 32 nm versus absorber thickness for two refractive indexes of the absorber, n=0.95 (blue) and n=0.88 (orange). Extinction coefficient changes by 0.06×60/thickness, to keep mask transmission constant at 0.1%, leaf-shape dipole illumination with 20% source filling, 20% circular obscuration, and NA of 0.55. THR does not change with thickness as the transmission was kept constant.

JM3_20_2_021004_f024.png

The traditional PSM considers the accurate phase to be of key importance for the design of mask absorbers. However, the phase can be misleading in choosing the optimum absorber thickness for an EUV mask. Figure 25 shows NILS versus thickness for two attPSMs with nominal transmission of 8% (k=0.0339, 40 nm) and nominal transmission of 4.5% (k=0.045, 37 nm). The nominal transmission of the masks is kept constant versus thickness by adapting the extinction coefficient so that the product of k and thickness remains constant. The optimum phase difference varies from the 180 deg that would be suggested from traditional PSM. A thicker absorber with a smaller k provides higher NILS values compared with the standard first 180 deg (around 30 nm thickness). The performance of low n materials can be clearly attributed to waveguiding effects. Every propagating mode has a different n˜eff and hence exhibits a different phase shift and transmission than the suggested phase shift and transmission computed from the material n˜.

Fig. 25

Simulated NILS for 16-nm vertical space with a pitch of 32 nm versus absorber thickness for two attPSMs with n=0.88: k=0.0339 and 40 nm absorber thickness (red) and k=0.045 and 37-nm absorber thickness (blue). Extinction coefficient changes by kbase.thicknessbase/thickness, to keep mask transmission constant, leaf-shape dipole illumination with 20% source filling, 20% circular obscuration, and NA of 0.55.

JM3_20_2_021004_f025.png

6.

Conclusions

We demonstrated that the performance of EUV mask absorbers is governed by waveguide effects. Downward propagating near-fields inside the EUV mask absorbers can be constructed from analytically computed waveguide modes. The number of waveguide modes is discrete and increases with smaller refractive indexes, smaller extinction coefficients of the absorber material, and increases in the slit width. A larger number of propagating modes reduces the losses of the transmitted light through the EUV absorber. Low n materials exhibit less losses per mode in the form of lower keff.

The refractive index n has a strong impact on light transmission through slits smaller than 40 nm (mask scale). This impact affects SRAFs (subresolution-assist features) design and SRAFs sensitivity to absorber thickness. The significant impact of Δn˜ on image shift for oblique incidence (dipole illumination) explains the advantages of low n absorber materials. Advantages of low n absorber materials can be attributed to a more pronounced waveguiding effect. Thinner absorbers are not always better for NILS. Refractive index and thickness govern attPSM performance, as the sole usage of phase values that are computed for given combinations of n and thickness can be misleading. The optimum phase for attPSM differs from 180 deg.

In general, the modes in waveguide are polarization dependent as well. In general, the small variation of the refractive index in EUV suggests only weak polarization effects. Selected simulations with TM polarized light indicated noticeable polarization effects for certain configurations. Further investigations are required to quantify observed effects and their potential impact on the imaging behavior in extreme cases.

The exploration of other mask 3D effects, including nontelecentricity and best focus shifts and their relation with the observed waveguiding effect in EUV mask absorbers, helps to identify the most suitable material parameters for different use cases. A more detailed understanding of the interaction of the waveguide effects with the multilayer reflectivity is required to exploit a complete waveguide perspective of EUV masks. Investigation of the effect of different phase shifts for different waveguide modes is needed. The side-wall angles and mask topography change the waveguiding effects and mode behavior inside the absorber.

Acknowledgments

The authors acknowledge ASML for funding this work. The first author acknowledges Zelalem T. Belete and Valeriia Sedova for their help, comments, and insightful discussions.

References

1. 

K. B. Nguyen et al., “Effects of absorber topography and multilayer coating defects on reflective masks for soft x-ray/EUV projection lithography,” Proc. SPIE, 1924 418 –434 (1993). https://doi.org/10.1117/12.146524 PSISDG 0277-786X Google Scholar

2. 

P. Schiavone, G. Granet and J. Robic, “Rigorous electromagnetic simulation of EUV masks: influence of the absorber properties,” Microelectron. Eng., 57-58 497 –503 (2001). https://doi.org/10.1016/S0167-9317(01)00472-5 MIENEF 0167-9317 Google Scholar

3. 

Z. Zhu et al., “Rigorous EUV mask simulator using 2D and 3D waveguide methods,” Proc. SPIE, 5037 494 –503 (2003). https://doi.org/10.1117/12.484963 PSISDG 0277-786X Google Scholar

4. 

P. Evanschitzky and A. Erdmann, “Three dimensional EUV simulations: a new mask near field and imaging simulation system,” Proc. SPIE, 5992 1546 –1554 (2005). https://doi.org/10.1117/12.632071 PSISDG 0277-786X Google Scholar

5. 

P.-Y. Yan, “Impact of EUVL mask buffer and absorber material properties on mask quality and performance,” Proc. SPIE, 4688 150 –160 (2002). https://doi.org/10.1117/12.472285 PSISDG 0277-786X Google Scholar

6. 

J. Ruoff, “Impact of mask topography and multilayer stack on high NA imaging of EUV masks,” Proc. SPIE, 7823 419 –433 (2010). https://doi.org/10.1117/12.864120 PSISDG 0277-786X Google Scholar

7. 

J. Finders, L. de Winter and T. Last, “Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 15 (2), 021408 (2016). https://doi.org/10.1117/1.JMM.15.2.021408 Google Scholar

8. 

P. Evanschitzky and A. Erdmann, “Advanced EUV mask and imaging modeling,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041005 (2017). https://doi.org/10.1117/1.JMM.16.4.041005 Google Scholar

9. 

S. Sherwin, A. Neureuther and P. Naulleau, “Actinic EUV scatterometry for parametric mask quantification,” Proc. SPIE, 10583 323 –334 (2018). https://doi.org/10.1117/12.2299271 PSISDG 0277-786X Google Scholar

10. 

A. Erdmann, P. Evanschitzky and T. Fühner, “Mask diffraction analysis and optimization for extreme ultraviolet masks,” J. Micro/Nanolithogr. MEMS MOEMS, 9 (1), 013005 (2010). https://doi.org/10.1117/1.3302124 Google Scholar

11. 

C. van Lare, F. Timmermans and J. Finders, “Mask-absorber optimization: the next phase,” J. Micro/Nanolithogr. MEMS MOEMS, 19 (2), 024401 (2020). https://doi.org/10.1117/1.JMM.19.2.024401 Google Scholar

12. 

Z. Zhang et al., “Fast rigorous mask model for extreme ultraviolet lithography,” Appl. Opt., 59 7376 –7389 (2020). https://doi.org/10.1364/AO.399323 APOPAI 0003-6935 Google Scholar

13. 

H. Mesilhy et al., “Pathfinding the perfect EUV mask: the role of the multilayer,” Proc. SPIE, 11323 1132316 (2020). https://doi.org/10.1117/12.2551870 PSISDG 0277-786X Google Scholar

14. 

S. Sherwin et al., “Advanced multilayer mirror design to mitigate EUV shadowing,” Proc. SPIE, 10957 1095715 (2019). https://doi.org/10.1117/12.2515095 PSISDG 0277-786X Google Scholar

15. 

M. Burkhardt et al., “Investigation of mask absorber induced image shift in EUV lithography,” Proc. SPIE, 10957 1095710 (2019). https://doi.org/10.1117/12.2515365 PSISDG 0277-786X Google Scholar

16. 

A. Erdmann et al., “Perspectives and tradeoffs of absorber materials for high NA EUV lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 19 (4), 041001 (2020). https://doi.org/10.1117/1.JMM.19.4.041001 Google Scholar

17. 

A. Erdmann et al., “Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,” Adv. Opt. Technol., 6 (3-4), 187 –201 (2017). https://doi.org/10.1515/aot-2017-0019 1687-6393 Google Scholar

18. 

V. Philipsen et al., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041002 (2017). https://doi.org/10.1117/1.JMM.16.4.041002 Google Scholar

19. 

J. U. Lee, S. Hong and J. Ahn, “Very thin extreme ultraviolet mask absorber material for extremely fine pitch patterning,” Appl. Phys. Express, 6 076502 (2013). https://doi.org/10.7567/APEX.6.076502 APEPC4 1882-0778 Google Scholar

20. 

A. Erdmann et al., “Attenuated PSM for EUV: can they mitigate 3D mask effects?,” Proc. SPIE, 10583 1058312 (2018). https://doi.org/10.1117/12.2299648 PSISDG 0277-786X Google Scholar

21. 

M. Yeung, E. Barouch and H.-K. Oh, “Waveguide effect in high-NA EUV lithography: the key to extending EUV lithography to the 4-nm node,” Jpn. J. Appl. Phys., 54 (6S1), 06FN01 (2015). https://doi.org/10.7567/JJAP.54.06FN01 Google Scholar

22. 

S. Zayko et al., “Coherent diffractive imaging beyond the projection approximation: waveguiding at extreme ultraviolet wavelengths,” Opt. Express, 23 19911 –19921 (2015). https://doi.org/10.1364/OE.23.019911 OPEXFF 1094-4087 Google Scholar

23. 

R. Mendis and D. M. Mittleman, “An investigation of the lowest-order transverse-electric (te1) mode of the parallel-plate waveguide for THz pulse propagation,” J. Opt. Soc. Am. B, 26 A6 –A13 (2009). https://doi.org/10.1364/JOSAB.26.0000A6 JOBPDE 0740-3224 Google Scholar

24. 

M. Balagangadhar et al., “Solution of the general Helmholtz equation in homogeneously filled waveguides using a static Green’s function,” IEEE Trans. Microwave Theory Tech., 46 (3), 302 –307 (1998). https://doi.org/10.1109/22.661719 IETMAB 0018-9480 Google Scholar

25. 

J. R. Nagel, S. Blair and M. A. Scarpulla, “Exact field solution to guided wave propagation in lossy thin films,” Opt. Express, 19 20159 –20171 (2011). https://doi.org/10.1364/OE.19.020159 OPEXFF 1094-4087 Google Scholar

26. 

M. R. Ramadas et al., “Analysis of absorbing and leaky planar waveguides: a novel method,” Opt. Lett., 14 376 –378 (1989). https://doi.org/10.1364/OL.14.000376 OPLEDP 0146-9592 Google Scholar

27. 

T. Fühner et al., “Dr. LiTHO: a development and research lithography simulator,” Proc. SPIE, 6520 65203F (2007). https://doi.org/10.1117/12.709535 PSISDG 0277-786X Google Scholar

28. 

A. Erdmann et al., “Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?,” J. Micro/Nanolithogr. MEMS MOEMS, 18 (1), 011005 (2018). https://doi.org/10.1117/1.JMM.18.1.011005 Google Scholar

29. 

K. Gut, “Methods of determining the beat length of planar waveguides,” Acta Phys. Polonica Ser. A, 124 (3), 425 –427 (2013). https://doi.org/10.12693/APhysPolA.124.425 Google Scholar

30. 

G. W. Taylor and C. Kwan, “Determination of diffraction efficiency for a second-order corrugated waveguide,” IEEE J. Quantum Electron., 33 (2), 176 –186 (1997). https://doi.org/10.1109/3.552257 IEJQA7 0018-9197 Google Scholar

31. 

W.-P. Huang, “Coupled-mode theory for optical waveguides: an overview,” J. Opt. Soc. Am. A, 11 963 –983 (1994). https://doi.org/10.1364/JOSAA.11.000963 JOAOD6 0740-3232 Google Scholar

32. 

B. Bilski et al., “High-NA EUV imaging: challenges and outlook,” Proc. SPIE, 11177 111770I (2019). https://doi.org/10.1117/12.2536329 PSISDG 0277-786X Google Scholar

Biography

Hazem Mesilhy is a PhD student at Fraunhofer IISB in the Computational Lithography and Optics Group. He received his master’s degree in advanced optical technologies from Friedrich-Alexander University Erlangen-Nurnberg. He received his bachelor’s degree from Cairo University-Faculty of Engineering, Electronics and Electrical Communication Department. His research domain involves the simulation of the lithographic process and the optimization of the process parameters using multiobjective optimization techniques.

Peter Evanschitzky studied electrical engineering at Saarland University, Germany. He received his PhD in the field of optical surface measurement techniques from the Technical University of Munich, Germany. Since 2002, he has been a scientist at the Fraunhofer IISB, Germany. His field of research includes the modeling and simulation of optical and EUV lithography and of optical systems in general. He is the coauthor of the lithography and optics simulator Dr. LiTHO.

Andreas Erdmann is the head of the Fraunhofer IISB computational lithography and optics group and teaches as “Privatdozent” at the University of Erlangen. He has more than 20 years of experience in optical and EUV lithography. He chaired SPIE conferences on optical microlithography and optical design and is an organizer of the International Fraunhofer Lithography Simulation Workshop. He contributed to the development of several advanced lithography simulators including the development and research lithography simulator Dr. LiTHO. He is a fellow of SPIE.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Hazem M. S. Mesilhy, Peter Evanschitzky, Gerardo Bottiglieri, Claire van Lare, Eelco van Setten, and Andreas Erdmann "Investigation of waveguide modes in EUV mask absorbers," Journal of Micro/Nanopatterning, Materials, and Metrology 20(2), 021004 (20 May 2021). https://doi.org/10.1117/1.JMM.20.2.021004
Received: 26 February 2021; Accepted: 28 April 2021; Published: 20 May 2021
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Photomasks

Refractive index

Waveguides

Waveguide modes

Diffraction

Near field

Back to Top