We introduce a metal infiltration technique to improve lithographic pattern fidelity. By applying vaporized metal-containing gas, metal was infiltrated into a chemically amplified resist (CAR) to alter the etching resistivity. Interestingly, the altered CAR exhibited both metal and organic properties, exhibiting etch selectivity to spin-on-glass and spin on carbon, respectively. We explored the properties of metal-infiltrated CAR and used them to improve pattern fidelity. For example, hole pattern could be transferred more rectangularly. In addition, we investigated whether hole shrink could be realized by volume expansion.
KEYWORDS: Carbon, Silicon, Etching, Polymers, System on a chip, Scanning electron microscopy, Silicon carbide, Oxides, Reactive ion etching, Optical lithography
For self-aligned multiple patterning, higher etch selectivity between mandrel and spacer is desired to lessen roughness, and thereby prevent pitch walk. We selected dual carbon layers as mandrels and silicon oxide films as spacers for a new self-aligned quadruple patterning process since they potentially provide infinite etch selectivity. We gained insolubility and etch selectivity between two carbon layers by infiltrating trimethylsilyldimethylamine into one of the carbon layers under the ambient atmosphere. Significantly, neither necking nor recess were observed when the spin-on-glass antireflective coating was removed. Thus, a SAQP scheme was developed and successfully demonstrated a sub15-nm halfpitch pattern. Additionally, this scheme improves affordability since all the processes can be performed in the ambient pressure within a coater module.
Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.