This paper described a higher sensitivity non-CAR type hemicellulose resist and the effect of hemicellulose content and polymer structure for EUV lithography. Hemicellulose content and the resist structure are the keys of EUV sensitivity. The line width 14 nm L/S pattern by EUV lithography was successfully obtained. Other 2types of resist were developed for higher sensitivity of EUV lithography. The results will be reported and confirmed the effect of hemicellulose content and resist structure. Non-CAR type hemicellulose resist is one of the candidates for next generation EUV lithography resist.
The application / effect of alternative developers for resist-based pattern defect mitigation was investigated. It was found that compared to the standard aqueous 0.26N TMAH developer solution, the same developer at lower concentration effectively improve the defect margin (indicated here in the form of a defect-sensitive exposure latitude (ELX) and critical dimension margin (CDMX) metric). Moreover, the existence of a developer concentration low limit, where ELXand CDMX significantly decrease, was observed. Alternative developers TEAH, TPAH and TBAH, when adjusted to the most effective developer concentration were found to improve defect margin. These results show the advantages of pursuing optimal developer solutions in mitigating resist-based defects while maintaining lithographic performance.
A new non-CAR hemicellulose resist is proposed for use in high-NA EUV lithography. This resist has high sensitivity (EUV dose 34.4 mJ/cm2) and high resolution (half-pitch of more than 16 nm) compared to conventional chain scission resists. Additionally, the process flow is very simple (no need for PEB) and the resist film is stable throughout the process. It was confirmed that the RIE selectivity ratio (Si/resist) of the non-CAR hemicellulose resist was 3.7, and am L/S pattern was obtained with a Si depth of 120.4 nm and a half-pitch of 18 nm. Furthermore, a new resist process, PreMi (pre-exposure metal insertion), was proposed. The PreMi process is expected to improve the fabrication properties, increase sensitivity and contrast, and reduce defects. Te and Sn were employed as metal types in this study, and EUV L/S patterns of PreMi-Te and PreMi-Sn were obtained. It was confirmed the PreMi process improved the fabrication properties of the no-PreMi process by a factor of 2. The non-CAR hemicellulose resist and PreMi process have great potential for use in high-NA EUV lithography.
Directed Self Assembly (DSA) is a promising technology for complementary patterning in future nodes. As DSA patterning has continued to evolve there has been many efforts to improve defect performance using hardware, processes, and materials. Traditionally, in PS-b-PMMA block-copolymers (BCP) based patterning schemes, phase separation is achieved using a thermal annealing with controlled temperature and time. In previous work we have expanded our understanding of BCP annealing by demonstrating the ability to improve a process window and fingerprint formation of a lamellar system (31nm pitch BCP) by atmospheric condition control during the thermal anneal, as shown in Figure 1. By reducing the oxygen concentration inside the annealing chamber, we have demonstrated improved densities for fingerprint defects commonly associated with phase separation in BCP systems. Furthermore, by achieving a strong regulation of the concentration at different levels, we have achieved a better understanding of what might be required to fully eliminate these defects for subsequent studies and learning toward device manufacture. By reducing the concentration of oxygen during the thermal anneal process, we have been able to employ noticeably higher annealing temperatures without damaging the BCP films. Ultimately, our goal is to provide an annealing solution that is amenable to high volume manufacturing. In this study, controlled oxygen annealing of a 31nm pitch BCP is evaluated against a known thermal annealing baseline. Oxygen concentration, temperature and time are finely tuned in the study. Finally, polymers with different compositions (ie morphologies: lamellar, PS cylinders, PMMA cylinders) are evaluated, and the correlation between thermal budget and polymer stability is reported.
KEYWORDS: System on a chip, Silicon, Etching, Photoresist materials, Extreme ultraviolet, Extreme ultraviolet lithography, Line width roughness, Photoresist developing, Scanning electron microscopy, Antireflective coatings
Tri-layer processes, which typically consist of photoresist, Si containing anti-reflective coating (Si-ARC) and spin on carbon (SOC), have been widely used since ArF immersion lithography. Continually reduced pattern dimensions need thinner photoresist films due to the common phenomenon of post-develop line collapse with higher aspect ratios. Consequently, it has been necessary to enhance pattern transfer performance after etching with such tri-layer processes. Successfully implementing tri-layer processes requires consideration of issues such as increased process steps, cost, and other inherent limitations of pattern transfer enhancement with a more complicated stack. In this work, we present a hemicellulose SOC material with the outstanding advantage of Si etch selectivity greater than 15. Hemicellulose SOC could significantly reduce pattern transfer limitations for etching, therefore the benefits of processing higher aspect ratio structures can be more easily achieved. Herein, we investigate the hemicellulose SOC lithography performance using resolution, sensitivity, and line width roughness as metrics. Also, we demonstrate these lithography performances through the etching. During the conference, we will discuss the potential issues of next generation processes using ArF immersion and EUV lithography.
KEYWORDS: System on a chip, Reactive ion etching, Image processing, Extreme ultraviolet, Carbon, Scanning electron microscopy, Optical lithography, Etching, Semiconducting wafers, Logic devices
Regarding 3D semiconductor devices, one of difficulties is hardmask process for deep memory holes because of expensive process cost. To overcome this issue, cost effective hardmask process concept using hemicellulose SOC is newly proposed by spin-coat process and improved hardmask technology of hemicellulose SOC (made from bio-based green chemistry material). In this study, deep holes of micron scale were made after under layer RIE using hemicellulose SOC and reactive hemicellulose hardening process (R2H). RIE selectivity was extremely improved up to 65 by optimization of R2H strong process. The results show the feasibility of cost effective deep memory hole process for 3D devices. Additionally, EUV patterns (Hole CD of 24nm and L/S of hp18nm) in under layer were obtained by Hemicellulose SOC and R2H. Its aspect ratio was 15. The fine patterning results show a big potential for next generation memory and logic device processes.
Hemicellulose spin on carbon (SOC) material was newly developed for hardmask layer. For next generation lithography, high etching selectivity is strongly required. However, there is an issue of a balance of cost and etching selectivity in conventional process. Hemicellulose spin on carbon material is able to overcome this issue by virtue of its chemical structure and newly-developed reactive hemicellulose hardening (R2H). R2H means that hemicellulose unit is selectively hardened by chemical reaction. In this study, deep L/S and hole patterns were fabricated by using hemicellulose SOC with R2H and its dry etching selectivity was 26. Additionally, compatibility with EUV lithography was confirmed. Favorable pattern made of resist for EUV lithography was obtained on Hemicellulose SOC and successfully transferred into hemicellulose SOC.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.