Presentation + Paper
4 May 2020 Progress in EUV resists status towards high-NA EUV lithography
Xiaolong Wang, Li-Ting Tseng, Timothee Allenet, Iacopo Mochi, Michaela Vockenhuber, Chia-Kai Yeh, Lidia van Lent-Protasova, Jara Garcia Santaclara, Rolf Custers, Yasin Ekinci
Author Affiliations +
Abstract
High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-3nm technology node for the semiconductor industry. One of the critical challenges is to develop suitable EUV photoresists at high resolution with high sensitivity and low line-width roughness (LWR) at reduced film thickness needed for high-NA by its reduced depth of focus (~1/NA2). The resist performance is generally limited by the resolution-LWR sensitivity (RLS) tradeoff, and it is critical to find new materials to support the future lithography nodes. EUV interference lithography (EUV-IL) is a powerful and efficient technique to test new materials at high resolution. In this work, we evaluate the performance of about 120 EUV resists, including molecular resist, inorganic resist, chemically-amplified resist (CAR), and chemically-amplified resist with a metal sensitizer (metal-CAR). Among all tested resists, we selected and compared six resists with the best performance in dose-to-size, line-width roughness, and maximal exposure latitude for 16 nm and 14 nm half-pitch (HP) lines/spaces (LS). A molecular resist showed the lowest dose to resolve HP 16 nm (29 mJ/cm2) and 14 nm (31 mJ/cm2), still featuring low LWRunbiased (2.7 nm and 3.1 nm, respectively). We observed that there is steady progress in EUV resist development: the LWR of the resists was improved in comparison with the results of the last two years as we noted that the amount of the resists within given dose and LWR threshold increased from 10 % to 33% for HP 14 nm. For contact holes, CAR gave the lowest LCDU of 2.2 nm for HP 20 nm with the lowest doses (21.1 mJ/cm2). While inorganic resists resolved pillars with highest resolution HP down to 18 nm with LCDU of 2.1 nm.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Xiaolong Wang, Li-Ting Tseng, Timothee Allenet, Iacopo Mochi, Michaela Vockenhuber, Chia-Kai Yeh, Lidia van Lent-Protasova, Jara Garcia Santaclara, Rolf Custers, and Yasin Ekinci "Progress in EUV resists status towards high-NA EUV lithography", Proc. SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI, 113230C (4 May 2020); https://doi.org/10.1117/12.2551886
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line width roughness

Extreme ultraviolet

Extreme ultraviolet lithography

Scanning electron microscopy

Diffraction gratings

Fourier transforms

Printing

Back to Top