Open Access Presentation + Paper
12 November 2024 EUV mask technologies: evolution and ecosystem for devices
Author Affiliations +
Abstract
For the innovation of DRAM and Logic semiconductors, EUV lithography and mask technology have played a crucial role. This paper shifts the focus of technological innovation research from a business-centric view to an in-depth examination of both technology development and innovation processes in the EUV mask industry. It covers trends in lithography technology, the significance of EUV lithography, and advancements and evolution in EUV mask technology. The study highlights the characteristics of the EUV mask industry and its ecosystem of leading companies, analyzes previous research on the challenges and risks faced by these companies, and investigates the competition between established and emerging technologies. Using survey responses from senior managers of seven leading companies, the paper uncovers factors contributing to successful innovation within the EUV mask industry. It also explores future considerations for the EUV mask sector and potential collaborative strategies within its ecosystem.
Conference Presentation

1.

INTRODUCTION

Technological innovation is the key factor for having a competitive advantage and achieving sustainability in many business areas. Schilling (2017) emphasized the importance of technological innovation by stating that leading companies in various industries depend on new products developed through technology within the past five years for nearly one-third (or more) of their sales and profits [1]. Over the past several decades, the semiconductor industry has been grow rapidly due to many technological innovations and produced economic growth and initiated additional innovations in nascent industries [2]. In 2020, McKinsey reported that across all product segments, semiconductor companies pursue innovation because faster and more powerful chips manufactured by advanced equipment contribute to increased sales in all value chain segments. Companies with the most unique technologies and products are most likely to become the global champions [3]. Furthermore, in a cross-industry analysis, the semiconductor sector ranked second only to pharmaceuticals and biotechnology in terms of research and development (R&D) spending, with an average of 14.2% of sales dedicated to R&D in 2020 [3]. Therefore, innovation in the semiconductor industry is driven by a small number of leading incumbent companies, as it requires significant investment and extensive research and development.

Researches about innovation have explored how incumbent companies respond to the introduction of new technologies and how discontinuous technological changes affect the rise and fall of companies in the industry [4-10]. Utterback (1975) presented the idea that the process of innovation harmonizes systematically with the stage of development of an incumbent company’s production technology, as well as its strategy for competition and growth [4]. Tushman and Anderson (1986) focused on technological discontinuities and demonstrated that, while new companies initiate competence-destroying discontinuities linked to heightened environmental turbulence, incumbent companies initiate competence-enhancing discontinuities associated with reduced environmental turbulence [5]. Henderson and Clark (1990) showed that architectural innovations can destroy the embedded architectural knowledge of incumbent companies, making it difficult for them to recognize and correct, and potentially leading to significant competitive implications [6]. Cooper and Smith (1992) examines the challenges and pitfalls faced by incumbent companies when entering new industries created by major product innovations, and suggests strategies for avoiding common problems [7]. Tripsas (1997) highlighted the importance of investment, technical capabilities, and specialized complementary assets in determining the survival and success of incumbent companies amidst industry transformation [8]. Li (2024) argued that in markets where a new technology poses a substitute threat to the old one, companies sticking with the old technology may initially experience decline but can ultimately rebound and improve their performance, as evidenced by the traditional medicine industry [9]. Christensen and Bower (1996) warned that incumbent companies may initially excel in developing technologies that meet their existing customers’ needs, but fail to adapt to newer and simpler technologies suitable for emerging markets, leading to a loss of industry leadership when faced with technological change [10]. The results of these studies indicate that incumbent companies find it difficult to adjust to new situations when the industrial environment changes and significant technological changes occur. In particular, Christensen referred to this as the Innovator’s Dilemma in subsequent researches and had a significant impact in the field of innovation [11,12].

The innovation process of photolithography companies in semiconductor industry was studied by Ander (2015) and Velden (2019) in detail [13,14]. In the era of technological discontinuity, a leading photolithography company successfully navigated the transition from optical lithography to extreme ultraviolet (EUV) lithography by flexibly organizing its R&D efforts, persistently relying on scientific and economic principles, and actively engaging with different technological options, thereby gaining a dominant position in both existing and new technological regimes [13]. The dynamics of technology substitution and its impact on firms during photolithography technology transitions were also considered by Ander (2015), taking into account the ecosystems in which technologies are embedded [14]. The framework identified four distinct substitution regimes based on the interactions between old and new lithography ecosystems, with clear predictions for the pace of substitution. It highlighted the importance of considering ecosystem dynamics of new and old technologies in shaping competition and market adoption, suggesting that managers and policymakers should understand the context and constraints that impact firm choices and outcomes during technology transitions [14].

This paper examines how incumbent companies in the photomask industry, a part of the semiconductor industry, have succeeded in achieving technological breakthroughs and led architectural innovation. A photomask, or simply a mask, is an opaque plate with specific patterns of transparent areas that allow light to pass through. These masks are essential in photolithography for semiconductor manufacturing, a technique that transfers the patterns by imaging onto a wafer to create integrated circuits or chips [15]. The mask industry has a long history with a small number of companies playing a key role in each field, which allows for a clear definition of the ecosystem [16-22]. Through conference presentations, patents, and media announcements, they continuously share their technology status, allowing for accurate identification of company strategies and current statuses, making it suitable for business analysis. It also has a rich story of technological innovation in the transition from the deep ultraviolet (DUV) era to the EUV era, for example, the competition between old and new technologies, the resilient technology development, and the achievement of architectural innovation by incumbent companies.

While previous research on the strategic management of technological innovation has mainly focused on the business perspective, this paper delves into both the technology development and innovation process in the EUV masks industry. In Section 2, the trends in lithography technology, the importance of EUV lithography, and EUV masks are discussed. Furthermore, the current state-of-the-art in EUV mask technology is explained, and some recent technology development results are presented. In Section 3, the characteristics of the EUV mask industry and its ecosystem, which consists of leading incumbent companies, are discussed. Moving on to Section 4, previous studies on the dilemmas faced by leading companies, the risks of innovation, and the competition between old and new technologies are analyzed. In Section 5, survey questions are posed to investigate the secrets of innovation, with answers provided by senior directors or core managers of seven companies. The innovation history and its results of the seven major leading companies in the EUV mask industry are presented sequentially. Through a qualitative analysis of these responses, common factors that contributed to the success of architectural innovation in the EUV mask industry are identified. Based on these results, the considerations for beyond current EUV masks and how the ecosystem of the EUV mask industry can cooperate are discussed in Section 6.

2.

EUV MASK TECHNOLOGY

Moore’s Law and Lithography

Although Moore’s Law is not a law but rather an observation that the number of transistors in integrated circuits (ICs) doubles approximately every two years, the semiconductor industry has astonishingly maintained this dimension scaling speed for over half a century [23, 24]. The progress in semiconductor manufacturing is all about reducing the size of components that make up IC designs. Smaller features enable the production of more advanced ICs that are faster, consume less power, and can be produced at a lower cost [25]. In semiconductor manufacturing, photolithography is the key driver for such feature shrinkage. Photolithography uses light to transfer the patterns from a photomask, which is simply a mask, to a light-sensitive chemical called photoresist on a silicon wafer. As the patterns are transferred, they are scaled down 4 times by projection lenses. The history of photolithography is a continuous effort to improve the resolution of lithography systems, commonly known as scanners, enhance the performance of photoresists, and introduce resolution enhancement techniques such as optical proximity correction (OPC) and advanced mask technologies [25].

The resolution limit in conventional photolithography is heavily influenced by the well-known Rayleigh’s equation. The patterning resolution (R), which corresponds to the minimum resolvable feature, is given by R = k1 × λ / NA, while the depth of focus (DOF), which affects the patterning tolerance, is given by DOF = k2 × λ / NA2. Here, λ represents the exposure wavelength, NA is the numerical aperture of the optical system, and k1 and k2 are constants that vary depending on the specific resist material, process technology, and imaging techniques used [23]. Figure 1 illustrates the trend of device shrinkage in DRAM and Logic devices, which is driven by advancements in structure, material innovations, and photolithography. In particular, the wavelengths used in photolithography have been continuously adjusted to achieve the desired patterning resolution, as the achievable resolution (R) is directly proportional to the wavelength. Approximately 50 years ago, g-line lithography with a wavelength of 465nm was utilized. Subsequently, i-line lithography with a wavelength of 365nm, KrF lithography with a wavelength of 248nm, and ArF lithography with a wavelength of 193nm had successively replaced older lithography techniques, as newer technology offers significant advantages in terms of patterning resolution and economic feasibility. Since the late 1990s, the semiconductor industry researched the next lithography technology following ArF lithography. The detailed account of the intense competition among various lithography technologies was reported by Linden (2000) [26]. Eventually, EUV lithography with 13.5nm wavelength was selected and successfully developed by ASML [27]. The overall ecosystem, including EUV masks, was prepared and advanced through the efforts of various consortia and programs such as ASET, SELETE, and EIDEC in Japan, EUCLIDES, MEDEA+, and More Moore in Europe, as well as EUV LLC and SEMATECH in the USA [13, 28-30]. The commercial adoption of EUV lithography for mass production began in the late 2010s. Prior to that, the semiconductor industry developed ArF immersion lithography and extended its capabilities through multiple patterning technologies, as these approaches were seen as extensions of familiar and established lithography techniques [31].

Figure 1.

Trend of device shrinkage of DRAM and Logic devices based on the structure, material innovations and photolithography evolution

00047_PSISDG13216_1321603_page_3_1.jpg

Samsung Electronics was the first company to adopt EUV lithography for mass production of mobile processors and DRAM devices [32,33]. Compared to the previous utilization of ArF immersion lithography and multiple patterning technology for high-end devices, EUV imaging offers finer resolution and higher precision in patterning. This results in reduced variations in line width and roughness, leading to improved reliability. In addition, this enables single patterning through EUV lithography, enhancing precision and reducing processing time compared to multi-patterning techniques based on longer wavelengths. This significant improvement in production efficiency on the wafer surpasses previous processing technologies. Jung (2018) reported that EUV lithography drastically reduces the number of process steps and costs by eliminating the need for double patterning or quadruple patterning, reducing it to nearly 1/3 compared to the lithograph-etch-lithograph-etch-lithograph-etch-lithograph-etch technique used in quadruple patterning, as shown in Figure 2 [2].

Figure 2.

(a) Reduction of patterning steps and (b) Reduction of lithography cost per wafer in the case of EUV lithography.

00047_PSISDG13216_1321603_page_4_1.jpg

The EUV mask is a template that contains the circuit design and an optical element with numerous parameters that have a significant impact on the final image quality, overlay accuracy (position accuracy of patterns) on the wafer, and system productivity. Additionally, the patterning effect on the wafer caused by the EUV mask is more pronounced compared to the ArF mask. Therefore, the development and production readiness of the EUV mask played a critical role in the adoption of EUV lithography for production purposes. To enable EUV mask production, the mask industry had to establish specialized facilities and develop materials specifically tailored for EUV, as it requires different specifications and architectures for tools and material properties.

Technology development of EUV mask

While conventional masks used in g-line to ArF lithography transmit or block light according to the desired pattern using a single chromium layer on a quartz substrate, EUV masks work by reflecting light because most materials cannot transmit the EUV wavelength of 13.5nm. The reflection of EUV light is achieved through a multilayer coating consisting of 40 pairs or more of alternating Mo and Si layers. Each layer has a thickness of about 4.1 nm for Mo and about 2.8 nm for Si, and it is applied on top of a low thermal expansion material (LTEM) substrate [34]. Semiconductor Equipment and Materials International (SEMI) is an industry association that comprises companies involved in the electronics design and manufacturing supply chain. SEMI defined the required specifications for EUV masks, as outlined in SEMI P37 [35]. These specifications impose very challenging and stringent requirements for defect quality, frontside and backside flatness quality, thermal properties of the substrate material, and more [35]. Due to the different structure, material, and challenging specifications compared to ArF mask, a radical or architectural innovation in technology development was necessary. Despite many companies attempting to develop and produce EUV blank masks of good quality, only two companies have successfully achieved the development necessary to meet the target and supply them for mass production. In alphabetical order, Asahi Glass Co., Ltd [36] and HOYA Corp. [37, 38] are the primary suppliers of EUV blank masks. Their success history will be discussed in Section 5 and 6.

In order to achieve the desired pattern on the mask, which will be printed on the wafer using a EUV scanner, the EUV mask needs to undergo the mask fabrication process, specifically patterning. EUV mask patterning involves several steps that are similar to those in conventional optical mask fabrication, along with some additional specific steps, such as an extra etch step for the buffer layer [34]. While several EUV mask patterning processes and tools are similar to those used in conventional ArF mask fabrication, they need to be modified to meet the requirements of EUV mask patterning. However, mask writer, mask inspection, and defect review tools are required to have different architectures from the tools for ArF mask. In the perspective of mask writing, Multi-Beam Mask Writer (MBMW) has revolutionized mask writing technology by offering various advantages over the previous Variable Shaped Beam (VSB) technology. The MBMW enabled time-independent writing of curvilinear mask pattern, reduced sensitivity to resist usage at high speed writing, and excellent resolution and critical dimension (CD) uniformity capabilities. These advantages have made this technology feasible for high-volume EUV mask manufacturing [39]. While VSB mask writers use just one beam to write the mask area, MBMW utilizes 262k beams of a 512 × 512 array, which are precisely controlled using micro-electromechanical systems (MEMS) devices, known as beam blanking units. After a long and challenging development process, this MBMW technology was successfully developed and commercially released by IMS Nanofabrication GmbH [40] and NuFlare Technology, Inc. [41], in alphabetical order.

Defects on masks, which can occur during the fabrication of blank masks, the patterning process of masks, or the lithography processing in scanners, pose a significant challenge in pattern transfer lithography. Detecting, removing, repairing, and verifying these defects become serious problem as feature sizes continue to shrink in successive lithography generations. Especially, in the case of EUV lithography, which utilizes a significantly shorter wavelength than previous generations (13.5 nm versus 193 nm), the issue becomes even more critical, and EUV mask defectivity had emerged as one of the top concerns within the EUV lithography community [42]. This significant challenge was addressed by two companies, in alphabetical order, Lasertec Corp. [43], which developed an inspection tool utilizing EUV light, and KLA Corp. [44,45], which introduced e-beam-based inspection or new detection algorithms.

The defect detected by EUV specific inspection tools should be repaired and verified by AIMS tool. The term AIMS, Automated Imaging Microscopy, originally referred to the product name of Carl Zeiss SMT GmbH, but is now used as a representative name for a microscope used for mask defect review. AIMS images the defect under the conditions that closely mimic the actual lithographic projection system [46]. In this manner, the aerial image projected by the AIMS will be essentially similar to the aerial image within the lithographic system. By analyzing the contrast, slope, and through-focus behavior of the aerial image in the presence of a mask defect, it is possible to estimate the printability of the defect in a lithographic system. For EUV masks, Carl Zeiss SMT GmbH has developed the AIMS EUV system, which utilizes a new light source, optics, and architecture [47,48].

In addition to the newly developed EUV-specific technologies mentioned above, EUV masks are continuously being developed based on the extension of technologies used in ArF, in order to meet the high-quality performance requirements for DRAM and Logic devices. Figure 3 illustrates the current important topics of EUV mask technologies for device requirements.

Figure 3.

Development scope of EUV mask technology

00047_PSISDG13216_1321603_page_5_1.jpg

Some Examples of Technology Development

The representative technologies of EUV masks are presented in alignment with the descriptions provided in Figure 3.

(A-1 Technology) Noh (2024) reported the comprehensive requirements and roles of MBMW for next EUV era and its mass production [49]. The development of MBMW has removed the barrier of patterning (design) degrees of freedom. This breakthrough enables the creation of a wide variety of masks and has undoubtedly made a significant contribution to EUV lithography. However, further advancements are demanded from both the users and mass production perspective, including improvements in locality, resolution, throughput, and compatibility. Looking ahead to the future beyond the EUV era, even tighter and more challenging performance from MBMW will be required more than ever before.

(B-1 Technology) Phase Shift Masks (PSMs) have been extensively studied to enhance EUV patterning capabilities. In a study by Eun Sung Kim (2024), rigorous simulations were employed to identify the cause of the best focus shift observed in PSMs. Several strategies were proposed to enable co-patterning of various pitch patterns [50]. Additionally, the impact of sub-resolution assist features as a means to mitigate the effect of 3D masks in PSMs and the improvement of CD uniformity for various pitch contact arrays compared to conventional binary masks were presented.

(C-1 Technology) Hyoyeon Kim (2024) conducted a comprehensive analysis of mask registration (pattern placement errors) caused by the charging effect in MBMW [51]. A charging control methodology was presented to mitigate these pattern-density-dependent errors. The effectiveness of charging effect reduction, an integrated hardware and software solution for charging control in MBMW, was tested in collaboration with IMS Nanofabrication GmbH. When implemented in mass production, the charging effect correction (CEC) significantly reduces mask pattern placement errors in individual cells and improves mask overlay between critical layers aligned in an overlay alignment scheme. This ultimately leads to a reduction in wafer in-field overlay errors for both DRAM and Logic devices, as depicted in Figure 4.

Figure 4.

(a) Budget analysis on pattern placement error of EUV masks and Overlay improvements of (b) DRAM device and (c) Logic device by applying CEC on the EUV masks.

00047_PSISDG13216_1321603_page_6_1.jpg

(E-2 Technology) While laser-illumination based inspection systems have been widely used for reticle quality control, electron-beam based inspection systems are expected to provide the highest resolution required for the most critical layers. To meet the inspection needs of EUV at the 3x nm pitch and beyond, KLA Corp. has developed a multi-column electron-beam inspection system. Samsung and KLA Corp. have jointly reported that this new e-beam inspector offers the industry’s highest sensitivity die-to-database inspection system and is based on a unique multi-column e-beam architecture that provides high-volume manufacturing (HVM)-worthy throughput [45]. Additionally, this inspection tool can simultaneously cover both low NA EUV with 0.33NA and high NA EUV with 0.55NA, as depicted in Figure 5.

Figure 5.

Inspection results on (a) two kinds of NA conditions, (b) full size layout of EUV mask for production device, and (c) defect of sub resolution assist feature (SRAF).

00047_PSISDG13216_1321603_page_7_1.jpg

(E-2 Technology) By cooperation with Lasertec Corp., Cheolki Min (2024) reported that APMI was adopted to achieve high-performance EUV Mask inspection, as shown in Figure 6 [52]. The image performance of actinic inspection is 4.7 times better than DUV inspection. The machine learning-based database modeling ensures accuracy and fast computing power. APMI can perform Phase defect and pellicle inspection, including good sensitivity and throughput. APMI demonstrates high resolution for curvilinear features and Sub-Resolution Assist Features (SRAFs), in accordance with the Nyquist sampling theory.

Figure 6.

(a) Inspection result of EUV mask with pellicle, (b) side view of EUV mask with multi-layer defect, (c) defect image detected by APMI, (d) reference image of inspection tool, (e) difference image between defect and reference, (f) die to database algorithm, and (g) advanced inspection function or algorithm.

00047_PSISDG13216_1321603_page_8_1.jpg

(E-3 Technology) The EUV pellicle is an effective way to prevent yield loss caused by mask defects. However, it has drawbacks such as low throughput and availability loss when it ruptures in the scanner. In a study conducted by Jongkil Choi (2024), defect detection schemes and metrology were reported to address and overcome the mask defect issue in EUV scanners [53]. Additionally, various schemes were discussed, including the most advanced EUV pellicle technology, aimed at mitigating and fundamentally solving the EUV mask defect problem.

(A-2 Technology) To enhance the process margin for wafer patterning, an inverse problem was investigated to determine the optimal object shape for wafer imaging. This process involved calculating the ideal mask pattern based on the desired wafer shape. The solution to this problem is the implementation of inverse lithography technology (ILT), which utilizes a special mask comprised of curvilinear patterns [54]. Figure 7 (a) shows the SEM images of representative curvilinear mask patterns for ILT. MBMW enabled mask exposures with curvilinear and circle pattern that have not been possible before. On the other hand, mask complexity has significantly increased over several decades, as depicted in Fig. 7 (b), (c), and (d). This increase can be attributed to the ongoing miniaturization and density growth driven by Moore’s law. During the era of multiple patterning, although the device shrinkage continued, the data volume per mask was limited by k1 at the 193 nm exposure wavelength of ArF lithography. However, with the introduction of single patterned EUV, the data volume per mask continued to rise. It is observed that the data volume per mask for EUV is five times higher than that of DUV multiple patterning [55,56].

Figure 7.

(a) SEM images of curvilinear mask patterns for inverse mask technology, the mask data sizes in log scale over several decades representing (b) single pattern era, (c) multiple patterning era, and (d) EUV era.

00047_PSISDG13216_1321603_page_8_2.jpg

The SEMI standard P39 OASIS (Open Artwork System Interchange Standard) is the prevalent format for describing integrated circuit physical layouts [57]. Curvilinear intent is approximated using piecewise linear representation in P39 data format. The number of edges used to represent curvilinear intent is determined by the required accuracy by downstream processes. Mask lithography and process capability limit the resolvable jog size and corner radii. To describe the smooth curve pattern of inverse lithography technology (ILT), the vertex of OASIS data should be dense, and as a result, the total data volume of the mask pattern increases several times as described in Fig 7. To overcome the issue of the increasing volume of mask data, Samsung proposed the ‘New data format W/G’ during the 2019 Photomask Technology conference [58]. The W/G formed in late 2019 drived by Samsung, Intel, and TSMC with representation from Siemens, Synopsys, Nippon Control Systems, D2S, Aselta, and ASML was formalized with the submission and subsequent approval by the SEMI North America Chapter of Microlithography Global Technical Committee in July 2021, as shown in Fig. 8 (a). Technical Working Group, as the sub group of the W/G was formed from representatives of the task force to converge on consensus for a new curvilinear format and produce a draft specification for industry review [59,60]. A new record type named “MULTIGON” was proposed by ASML and SIEMENS to specify composite geometric figures composed of multiple shape types. The initial focus was B-spline curves with the option to include user-defined shape types for extensibility. Subsequently the focus has moved from B-spline to Bezier curve sections although there are cases where B-spline curves have specific advantages. It is expected that both B-spline and Bezier curves will be supported in the new format. Figure 8 (b) is an example of a complex curvilinear shape defined as a Piecewise Bezier MULTIGON record [61]. Focusing on the perimeter-list, the section attribute byte specifies the implicit PWB section contour type. A ratio is specified as 0.45. There are 11 on-curve points specified as deltas from the initial x/y coordinates specified. The ratio is used to derive the intermediate control points. The task force had a meeting regularly and made reasonable progress towards consensus. They discussed changes to the P39 PATH format to make it less ambiguous and put back the semi-circular path ends available in GDS2. They also considered a curvilinear path formed with a piece-wise Bezier backbone and semi-circular ends to represent SRAFs. Many experts, mostly competitors, worked together to present and distil ideas down into a viable approach.

Figure 8.

(a) Approval by the SEMI North America Chapter of Microlithography Global Technical Committee and (b) example of a complex curvilinear shape defined as a Piece-wise Bezier MULTIGON record.

00047_PSISDG13216_1321603_page_9_1.jpg

The timeline of Figure 9 show a 6 year history of the task force effort, initiating with Samsung’s proposal about ‘New data format working group’ in 2019. The task force and development effort started in early 2020 and was expected to run through the end of 2022 with submission of a draft specification to SEMI for approval as a preliminary standard, publication of the standard for industry review, and hopefully approval as a full consensus standard towards early 2023. Finally, the new standard was adopted by EDA and mask manufacturing equipment suppliers through a voting process on March 28, 2023, and was approved by SEMI as P49. EDA and mask equipment companies are developing products utilizing the P49 data format with the goal of completing them by the end of 2024. After setting up and sufficiently verifying the new products, the utilization of the new data format is scheduled to begin in the first quarter of 2025. Therefore, it is expected that the economic benefits of the new data format will start around the second quarter of 2025.

Figure 9.

Timeline of 6-Year History for the Development of P49 New Data Format and Its Adoption.

00047_PSISDG13216_1321603_page_10_1.jpg

3.

EUV MASK INDUSTRY

Characteristics of EUV Mask Industry

The mask industry has experienced significant growth and evolution since its inception in the 1950s and 1960s. Initially, major companies like RCA, TI, Fairchild, Motorola, IBM, and AT&T had their own mask shops (captive shop), but external shops (merchant shop) started to emerge in the 1970s. The introduction of chrome hard surface 1X masks, projection printers, proximity aligners, and steppers marked significant changes in the mask business. Merchant shops started challenging internal shops on costs, cycle time, and quality, leading to debates over internal vs external supply of masks.

The 1980s saw a near 100% conversion to wafer steppers for 5” and 6” silicon, with Japanese photomask suppliers like Toppan Printing (Toppan), Dai Nippon Printing (DNP), and Hoya setting new standards for the industry [16]. The emergence of ASIC companies like LSI Logic and VTI brought new meaning to cycle times, breaking the cycle time barrier multiple times during the 1980s. Merchant shops consolidated into formidable businesses, with billion-dollar conglomerates like DuPont entering the industry. The industry moved into the early 1990s facing a diverging future of technology, including deep UV masks, X-ray masks, or phase shift masks [16].

Despite advancements, the cost of mask making equipment has increased significantly, leading to an evolution in business structures and fewer major players [16]. The number of mask companies decreased from around 60 in 1985 to about 30 in 1995, and by 2004 it had fallen to less than 15. Overcapacity and low margins in the 1990s led to consolidations and absorption of smaller companies, favoring merchant operations. Now five companies are leading the mask merchant business, as depicted in Figure 10. White (1991) already described this consolidation phenomenon in the mask industry as the ‘Funneling effect of mask makers.’ [16]. The 2000s saw heavy investment in new toolsets to support each new node, resulting in overcapacity again and further consolidation.

Figure 10.

Consolidation of Merchant mask shops [62,63]

00047_PSISDG13216_1321603_page_11_1.jpg

The market of semiconductor mask showed a steady decline from 1991 to 2016, but continuous increase from 2020 due to the wide applications of multiple patterning for ArF lithography and EUV mask introduction [64,65]. Especially, Caverly (2021) reports that the semiconductor business is forecasted to grow at 9.9% CAGR from 2020 - 2030 which will drive growth in the mask market [64].

From 2008 to 2020, the photomask market grew from $2.8B to $4.4B, with captives dominating the growth and going from 35% to 65% of the global mask market share [64]. According to the 2023 SEMI PHOTOMASK CHARACTERIZATION STUDY, as of the end of 2022, the market size of the mask industry was $5.5B. Captive shops accounted for 63% of the market, with Toppan at 13%, DNP at 6%, Photronics at 11%, HOYA at 1%, and TMC at 2%, making up 96% of the total market [62]. Historically, the mask market has been understood to represent approximately 1% of the semiconductor industry. However, it is anticipated that EUV masks will account for a few percentage points of the semiconductor industry due to the rising costs involved [22].

The five forces model [66], developed by Michael Porter as a competitive strategy framework, was employed by Franklin Kalk of Toppan to comprehend the mask industry [67]. Kalk (2010) divided the business environments for captive shops and merchant shops and anticipated that the threat of new entrants and the threat of substitutes would be low for both captives and merchants [67]. Furthermore, he expected that the bargaining power of buyer and the rivalry among competitor would be high for merchant shops and low for captive shops while the bargaining power of suppliers is moderate for both shops. Given the current status of the mask industry, particularly the EUV mask sector, most expectations remain valid. The threat of new entrants and substitutes is still low due to the unique role of EUV masks in the semiconductor fabrication process. The bargaining power of buyers is low for captive shops and high for merchant shops since the latter must compete with each other to fulfill customer demands. One notable difference is that the bargaining power of suppliers of EUV tools or EUV blanks has increased, as only a few companies can serve as EUV suppliers due to the high cost and advanced technology involved.

Figure 11.

Five forces of mask industry (a) reported by Franklin Kalk at 2010 BACUS Plenary talk and (b) reported by Jin Choi at 2024 BACUS Plenary talk.

00047_PSISDG13216_1321603_page_12_1.jpg

Leading Companies of EUV Mask Industry

The EUV mask industry is comprised of mask shops that manufacture EUV masks and suppliers of EUV tools or materials for these mask shops. Based on press releases, presentations at mask conferences, personal experiences, and private communications, the majority of players in the EUV mask industry can be summarized as shown in Figure 12. These 39 companies have been pioneers in the field of EUV mask technology and are at the forefront of the EUV mask industry. A total of 8 EUV mask shops, including both captive shops and merchant shops, utilize tools or materials for EUV masks from 31 suppliers. In Fig. 12, ‘Blank mask’, ‘Pellicle’, and ‘POD’ are special materials or parts for EUV masks, and ‘EDA’ is the abbreviation for Electronic Design Automation, which is a software tool used to handle or correct mask data. From ‘Writer’ to ‘Verification’, these facilities represent the necessary components for EUV mask fabrication. The total number of 31 suppliers could be considered sufficient in the EUV mask industry. However, since masks require materials and facilities for each step of the process, it is important to verify the number of companies involved in each specific process. On average, 2.4 companies supply tools or materials for each process of EUV mask production to mask shops. From an economic perspective, each segment of EUV mask suppliers can be classified as an oligopoly, in detail duopoly or triopoly, resulting in increased bargaining power for the suppliers compared to before.

Figure 12.

Companies comprising of EUV mask industry.

00047_PSISDG13216_1321603_page_13_1.jpg

Looking back in the past, there were far more mask equipment and material suppliers than in the case of EUV masks, but over time the number of suppliers has decreased, and since the ArF immersion era, only a similar size of companies as today exist, with only a few newcomers. This phenomenon is identical to the sharp decline in the number of merchant mask shops, as explained above, and it occurred due to the characteristics of the mask industry, which requires high costs and advanced technology development [16]. One remarkable point is that the suppliers in the mask industry have managed to successfully innovate from the ArF era to the EUV era, despite being dominated by a few incumbent companies in an oligopoly industry. Specifically, the material and structure of the ‘Blank mask’, as well as the architecture and specifications of the ‘Writer’, ‘Inspection’, and ‘Verification’ for EUV masks, differ significantly from those used for ArF masks.

Conventional masks in g-line to ArF lithography use a single chromium layer on a quartz substrate to transmit or block light. In contrast, EUV masks work by reflecting light because most materials cannot transmit the EUV wavelength of 13.5nm. The reflection of EUV light is achieved through a multilayer coating consisting of 40 pairs or more of alternating Mo and Si layers. Each layer has a thickness of about 4.1 nm for Mo and about 2.8 nm for Si, and it is applied on top of a low thermal expansion material (LTEM) substrate. The specifications of EUV blank mask by SEMI standard P37 impose very challenging and stringent requirements for defect quality, frontside and backside flatness quality, thermal properties of the substrate material, and more [35]. Due to the different structure, material, and challenging specifications compared to ArF mask, an innovation in technology development was necessary. Despite many companies attempting to develop and produce EUV blank masks of good quality, only two companies have successfully achieved the development necessary to meet the target and supply them for mass production. In alphabetical order, Asahi Glass Co., Ltd (AGC) [36] and HOYA Corp. (HOYA) [37] are the suppliers of EUV blank masks.

The MBMW as the primary writer for EUV mask enabled time-independent writing of curvilinear mask pattern, reduced sensitivity to resist usage at high speed writing, and excellent resolution and CD uniformity capabilities [39]. While VSB mask writers, which is utilized for ArF mask, use just one beam to write the mask, MBMW utilizes 262k beams of a 512 × 512 array, which are precisely controlled using micro-electromechanical systems (MEMS) devices, known as beam blanking units. After a long and challenging development process, this MBMW technology was successfully developed and commercially released by IMS Nanofabrication GmbH (IMS) [40] and NuFlare Technology, Inc. (NFT) [41], in alphabetical order.

In the case of EUV lithography, which utilizes a significantly shorter wavelength than previous generations (13.5 nm versus 193 nm), EUV mask defectivity had emerged as one of the top concerns within the EUV lithography community

[42]. This significant challenge was addressed by two companies, in alphabetical order, Lasertec Corp. (LASERTEC)

[43], which developed an inspection tool utilizing EUV light, and KLA Corp. (KLA) [44,45], which introduced e-beam-based inspection or new detection algorithms. The defect detected by EUV specific inspection tools should be repaired and verified by AIMS tool. AIMS tool images the defect under the conditions that closely mimic the actual lithographic projection system [46]. By analyzing the contrast, slope, and through-focus behavior of the aerial image in the presence of a mask defect, it is possible to estimate the printability of the defect in a lithographic system. For EUV masks, Carl Zeiss SMT GmbH (ZEISS) has newly designed and developed the AIMS EUV system, which utilizes a different light source, optics, and architecture from the tool for ArF mask [47,48].

In alphabetical order, AGC, HOYA, IMS, KLA, LASERTEC, NFT, and ZEISS, these seven companies successfully developed technologies for the new architecture over a span of more than 10 years. HOYA, KLA, LASERTEC, NFT, and ZEISS were the incumbent companies in the business of ArF masks, and they ultimately managed to innovate their business from the ArF era to the EUV era. Furthermore, AGC and IMS were newcomers to the mask industry and have grown to become the primary players in the EUV mask industry.

4.

PUZZLE OF INNOVATION

Starting with Solow (1957), it is evident, supported by many empirical studies, that a significant portion of economic growth in developed countries can be attributed to advancements in technology rather than the mere accumulation of capital [68]. Furthermore, Teece (1997) addressed that firms that exhibit timely responsiveness and rapid and flexible product innovation, along with effective coordination and redeployment of internal and external competences, have emerged as winners in the global marketplace [69]. Taking it a step further, Henderson and Clark (1990) demonstrated that incumbents in the photolithographic equipment industry were successively impacted by seemingly minor innovations that, despite appearing insignificant, had significant effects on system configurations. These challenges are attributed to the fact that systems-level or ‘architectural’ innovations often necessitate the development of new routines to integrate and coordinate engineering tasks. [70]. Seven innovative companies in the EUV mask industry achieved architectural innovations although they faced ‘the dilemma of a leading company’ and the associated ‘risks of innovation’. In the following section, previous studies related to the innovation landscape will be discussed, along with a detailed history of how these seven leading EUV mask suppliers have accomplished their innovations.

Dilemma of a Leading Company

Being a leading company means that there is a certain level of success and market dominance associated with that position. However, maintaining this status requires continuous innovation to stay ahead of competitors and meet changing customer demands or business environment. The dilemma arises when the company needs to strike a balance between maintaining its current success and taking risks to pursue new innovations. Many company may be hesitant to deviate from its existing successful products or strategies, fearing that any changes could disrupt its market position and alienate loyal customers. O’Relly (2014) commented that most successful enterprises are adept at refining their current offerings, but they falter when it comes to pioneering radically new products and services [71]. Christensen (1997) referred to this as the Innovator’s Dilemma and warned that incumbent companies may initially excel in developing technologies that meet their existing customers’ needs, but fail to adapt to newer and simpler technologies suitable for emerging markets, leading to a loss of industry leadership when faced with technological change [10]. As shown in Figure 13 (a), incumbent companies face challenges in investing in new technologies with lower profitability or targeting smaller markets. The struggle between maintaining current success and embracing disruptive innovations that could reshape industries creates a dilemma. Ineffectively navigating this dilemma can lead to the decline of once-dominant companies due to inability to adapt to changing market dynamics and emerging competitors [72]. March (1991) conducted a study on exploration and exploitation in organizational learning. Exploration encompasses concepts such as search scope, variation, risk-taking, experimentation, flexibility, research, and discovery. On the other hand, exploitation encompasses concepts such as search depth, refinement, optimization, efficiency, implementation, and so on. His study revealed that the strategic positioning of a company between exploration and exploitation presents a dilemma, as illustrated in Figure 13 (b). He developed an argument stating that when a company prioritizes refining exploitation at a faster pace than exploration, its adaptive processes may be effective in the short run but self-destructive in the long run [73]. Uotila (2009) discovered a relationship between a firm’s relative exploration orientation and its financial performance, which follows an inverted-U shape [74]. The majority of companies were found to engage in less than an optimal amount of exploration. This finding was based on longitudinal data from 1989 to 2004, encompassing 279 manufacturing firms included in the 1989 Standard & Poor’s 500 index. Laureiro-Martínez (2015) utilized functional magnetic resonance imaging (fMRI) to investigate the cognitive processes underlying decision makers’ ability to switch between exploitation and exploration [75]. The research findings demonstrated that exploitation is linked to brain regions primarily associated with reward anticipation, whereas exploration relies on regions primarily associated with attentional control. Consequently, achieving superior decision-making performance necessitates the intentional ability to appropriately sequence exploitation and exploration, as well as recognizing when to shift towards exploration.

Figure 13.

(a) Graph to represent the innovator’s dilemma proposed by Christensen (2018) [72] and (b) the explorationexploitation dilemma proposed by March (1991) [73].

00047_PSISDG13216_1321603_page_15_1.jpg

In the ArF era, the EUV market was uncertain and it was impossible to know how many customers there would be for the suppliers of EUV tool or blank. Aki (2021) reported that the survey result of ten mask shops [76]: Advanced Mask Technology Center (AMTC), Dai Nippon Printing (DNP), HOYA, Intel, Micron Technology, Photronics (including PDMC), Samsung, Semiconductor Manufacturing International Co. (SMIC), Taiwan Mask Shop (TMC) and Toppan Photomasks, Inc. The report presented there were 558,834 masks that were reported to have been delivered by the 10 participating companies for the 12 months ending June 2020. The quantity of masks exceeding the design node of 7nm for the Device was 549,213, accounting for 98% of the total. The share of EUV masks used in the design node of 7nm or Sub-nodes was less than 2% of the total mask market in 2020. Therefore, investment for the technology development of EUV tool or blank posed a risk and dilemma for leading suppliers with a high market share in the ArF market.

Risks of Innovation

Innovations are inherently associated with the risk of failure. It is practically impossible to innovate without being willing to accept a certain level of risk. Janoskova (2016) summarized the types of risk and potential risks of innovation [77]. Among the three types of risk, first, production risks have potential issues such as 1) deficiencies of a structural or technological nature that cause poor quality production, 2) real production costs are higher than planned, and 3) poor project management. Next, risks to the sustainability of innovations could have issues such as 1) insufficient potential to further improve the product, meaning an inability to secure incremental innovation, and 2) inadequate services and additional services related to innovation. Finally, market risks are as follows: 1) the innovation is not sufficiently compatible with corporate strategy, 2) inappropriate timing of innovation (creation of discontinuous follow-up with a time gap), 3) limited size of the market without growth potential, 4) lack of marketing activities, 5) not considering changes in the market, 6) wrong estimation of competitors’ reaction to new products, and 7) tightening legislation.

Andrew (2007) illustrated the cash curve in the context of the innovation process, as businesses are primarily concerned with achieving a higher return on investment and effectively managing the various risks associated with innovation [78]. Figure 14 illustrates a typical cash flow curve for new product development. It breaks down the process into three phases: idea generation, commercialization, and realization. In the first phase, companies evaluate new market opportunities. During the product development phase, cash is allocated for pre-launch activities, resulting in a decrease in cumulative cash flow. Following the launch, cumulative cash flow rises as sales increase and production costs decrease. This cash curve shows four major risks for innovation; development risks, market risks, investment risks, and management risks.

Figure 14.

(a) Cash curve of cumulative cash flow and risks and (b) Cash flow curve for two-generation products

00047_PSISDG13216_1321603_page_16_1.jpg

Andrew (2007) addressed that the primary obstacle faced by nearly every company in the pursuit of innovation lies not in a shortage of ideas, but rather in effectively overseeing the innovation process to ensure it yields the desired return on investment in terms of finances, time, and human resources. The majority of innovation endeavors fall short in achieving this outcome, as they fail to generate sufficient payback within a tolerable timing [79]. By using cumulative cash curve, the managers can determine when to start a project to develop innovative products, when to invest in products development, and when to analyze the current status of competitors, and when to start developing certain products according to environmental changes, etc. Using the cash curve compels management to raise and address key questions, enabling them to analyze risks more effectively and optimize the plan before committing to investment and progressing forward. For example, as shown in Figure 14 (b), it helps in determining the time required to launch a new product, the amount of capital needed for investment, and whether the break-even point can be achieved within a reasonable timeframe. Furthermore, in the development and release of new products, the cash curve also guides the launch timing of the new generation product, ensuring it occurs after the break-even point of the old generation product [79].

Due to the fact that the tools or blank masks for EUV masks are based on a new architecture, structure, and materials, the development risk associated with them was very high, requiring significant investment in research and development resources and cash. From the perspective of the cash curve and many risks of innovation, it was uncertain when profits could be realized through the EUV mask industry. On the other hand, EUV technology served as the successor to ArF technology. The leading companies in the ArF mask industry were concerned about determining the optimal timing for the launch of new products for both ArF masks and EUV masks.

Competition between Old and New Technologies

S-curves have become the standard representations of both the technology life cycle and the competition among different technologies [11,80,81]. The S-curve model suggests that early in technology development, performance improvements are minimal. As technology advances and is better understood, progress speeds up until it reaches maturity. At this point, the technology starts to reach its limits, and further efforts yield diminishing returns. In terms of market competition, a new technology becomes a threat once it outperforms existing ones. The dominance of a new technology in the market depends on whether its S-curve crosses that of the older technology. Previous studies focus on understanding the rise of new technologies and their potential to displace older ones, rather than the speed at which this substitution occurs [82-84]. Furthermore they analyzed factors that determine the rate of market adoption, taking into account attributes of the new technology and its interaction with user context, such as network effects, standards, and information contagion. While above researches taken a static view of the technology itself, Ander (2015) recognized the pace of technology substitution as the dynamic interplay between the challenges of emerging technologies and the opportunities for extending existing technologies [14].

His approach can be applied to understand the competition between the old technology of ArF lithography and the new technology of EUV lithography and why EUV lithography takes a long time to diffuse. However, Ander (2015) only considered the ecosystem emergence challenge of the new technology. This paper added the profitability and maturity of the new technology by reviewing the development history of EUV lithography and EUV mask technology. Figure 15 (a) provides a visual representation that helps intuitively understand the implications of system-level technology dynamics on the relative speed of substitution. The baseline case, represented by two solid S-curves, illustrates a scenario where the performance of both technologies remains unaffected by difficulty or readiness. This means that the technical performance solely determines the actual performance. In this case, the point A is when the performance of the new technology surpasses that of the old technology. Following this, there’s an expected acceleration in adoption, leading to market dominance. However, when the balance between ecosystem readiness & profitability for new technology and extension opportunities for old technology shifts, different dynamics emerge. The opposite of the baseline case is represented by two dashed S-curves, indicating a scenario where both technologies face high challenges or high extension opportunities. Despite the potential of the new technology, the adoption of new technology is often hindered by performance bottlenecks caused by factors such as ecosystem readiness or economic considerations, including return on investment. Meanwhile, the old technology can still benefit from enhancements in its components and complements, improving its actual performance even if its technical performance remains stagnant. The point D marks the moment when the actual performance of the new technology surpasses that of the old technology. Therefore, the order of adopting new technologies is A, B, C, and D of Figure 15 (b). It is determined by factors which are influenced by each company’s extension opportunities for old technology and ecosystem readiness & profitability for new technology.

Figure 15.

(a) Technology competition between an old technology and a new technology and (b) four kinds of scenario for analyzing technology substitution.

00047_PSISDG13216_1321603_page_18_1.jpg

Emergence and Utilization of EUV Lithography

EUV lithography is not a new technology. It is widely known that EUV lithography was initiated in the 1980s by numerous pioneering researchers in the field of x-ray. Yen (2016) provides a comprehensive overview of the history of EUV lithography, covering its development from its inception to its implementation in manufacturing [85]. In 1986, Hiroo Kinoshita first announced soft x-ray lithography at the Japan Society of Applied Physics [86]. He described the initial response from the audience as follows [87]: ‘In 1986, the response to the announcement was rather negative. People seemed unwilling to believe that we had actually made an image by bending X-rays, and they tended to regard the whole thing as a big fish story. However, my belief remained unshaken that “theoretically, it is possible to produce an image using a reduction optical system consisting of a couple of mirrors coated with multi-layer film.’ By private communication with Anthony Yen, Nat Ceglio explained as followings [85]: ‘Soft X-ray projection lithography was what we originally named it until DARPA asked us to get the X-ray out of the name in 1993. So it was renamed extreme ultraviolet lithography. I suggested the name because I knew Berkeley had an Extreme Ultraviolet Astronomy group. At the time, nobody in our group even knew what the wavelengths of EUV were - But we needed a new name… quick.’

EUV lithography was recognized as an important and promising technology; however, nobody could anticipate whether it would be feasible for commercialization or when it would become possible. Since the late 1990s, the semiconductor industry has been researching the next lithography technology after ArF lithography, as shown in Figure 16. Linden (2000) [26] reported on the intense competition among various lithography technologies. After an intensive review process, ASML ultimately selected and successfully developed EUV lithography with a 13.5 nm wavelength [27]. The overall ecosystem for EUV lithography, including EUV masks, was prepared and advanced through the efforts of various consortia and programs such as ASET, SELETE, and EIDEC in Japan, EUCLIDES, MEDEA+, and More Moore in Europe, as well as EUV LLC and SEMATECH in the USA [13, 28-30]. Intel established a EUV Mask pilot line dedicated to addressing and resolving challenges related to the manufacturability of EUV masks, and they presented the findings at the Plenary talk of 2004 BACUS conference [88]. However, the commercial adoption of EUV lithography for mass production began in the late 2010s. Prior to that, the semiconductor industry developed ArF immersion lithography and extended its capabilities through multiple patterning technologies, as these approaches were seen as extensions of familiar and established lithography techniques [31].

Figure 16.

Next-generation lithography technologies in the late 1990s [26].

00047_PSISDG13216_1321603_page_18_2.jpg

ASML announced the shipment of the first EUV production system, the TWINSCAN NXE:3300, in 2013 [89]. However, it took additional time to fully utilize EUV lithography and its mask technologies for mass production of devices. In 2018, Samsung made an announcement regarding the world’s first commercial EUV chips [90]. The official webpage or blogs of the company provide updates on when the company begins mass production using EUV lithography. Figure 17 illustrates the timeline of EUV lithography, spanning from its inception to the adoption by semiconductor companies. By 2024, total five companies will be utilizing EUV lithography for commercial mass production of devices, although the commencement dates vary significantly among these companies. Some companies are faster in adopting the technology compared to others, and these differences are quite noticeable. The adoption of EUV lithography varies among companies, and this variation is closely tied to the extension of the existing technology, ArF lithography. Hence, we can comprehend the introduction and dissemination of new technologies through the competition between the emerging EUV lithography and the established ArF lithography. Since the preparation of masks is crucial for lithography, the timing of mass production using EUV lithography is closely tied to the mass production timing of EUV masks. As a result, from the perspective of suppliers of tools or blanks for EUV masks, it was challenging to anticipate when EUV lithography would enter mass production and how many customers would require a specific quantity of tools or blanks.

Figure 17.

Time line of EUV lithography spanning from its inception to the adoption by semiconductor companies.

00047_PSISDG13216_1321603_page_19_1.jpg

5.

CASE STUDY ON INNOVATION

Qualitative research methods in the social sciences involve gathering and analyzing non-numerical data to gain an indepth understanding of social phenomena. These methods focus on exploring subjective experiences, perspectives, and meanings through techniques such as interviews, observations, and document analysis. Qualitative research methods provide a deep understanding of complex social phenomena by exploring the subjective experiences, perspectives, and meanings of individual cases within their natural contexts. This approach provides rich and detailed data that can uncover nuances and complexities that quantitative methods may overlook.

Analysis Method

To gain insight into the innovation strategies of the seven leading companies in achieving success with EUV tools or blank masks utilizing new architecture, structure, or materials, the following two requests, in alphabetical order, were delivered to the senior directors or managers of AGC, HOYA, IMS, KLA, LASERTEC, NFT, and ZEISS. Each company provided PowerPoint (PPT) materials and answers in August 2024.

[Request 1] Please prepare one page PPT material on the development history of your tool or blank and what is the key factors that led to the successful development.

Success Stories of 7 Leading Companies

Asahi Glass Co., Ltd (AGC)

AGC is one of the primary suppliers of EUV blanks. They initiated the development of EUV blanks in 2003 when the industry targeted EUV insertion into hp45nm by 2010 [36]. In 2017, AGC successfully demonstrated EUV blanks that met the industry’s requirements by utilizing state-of-the-art metrology tools through their participation in the SEMATEC consortium from 2003 to 2012 and EIDEC from 2012 to 2015, as shown in Figure 18. AGC recognizes that EUV blanks necessitate much stricter quality control in terms of defects, substrate flatness, and thermal expansion compared to optical blanks. They have shown their defect improvement progress through visual inspection, DUV inspection, and Actinic blank inspection with higher defect sensitivity. AGC believes that two key factors are essential for the future of EUV and its success: (1) industry alignment to establish a healthy ecosystem and (2) early access to advanced metrology tools.

Figure 18.

Story of AGC.

00047_PSISDG13216_1321603_page_20_1.jpg

HOYA Corp. (HOYA)

Hoya was a prominent player in ArF mask business, but it took proactive steps to enter the EUV business. At the time, the company felt as if it was standing on the edge of a cliff, as depicted in Figure 19. Hoya began the EUV project in 2000 and ultimately accomplished high-volume manufacturing (HVM) of EUV blanks in 2020 [37]. The development of HOYA’s EUV blank can be divided into three stages. Firstly, during the initiation stage from 2000 to 2006, HOYA defined the material, process, and tools, which included the absorber layer made of TaBN material and the capping layer made of Ru-based alloy material. Secondly, during the consortium stage, HOYA collaborated with ASET from 1998 to 2005, SELETE from 2006 to 2010, EIDEC from 2011 to 2015, and SEMATECH from 2012 to 2015. Lastly, in the final stage, HOYA successfully proved the prototype of EUV blanks in 2006 and established a pilot line for the manufacturing of EUV blanks in 2012. Furthermore, HOYA demonstrated zero defects and implemented various engineering methods for defect reduction.

Figure 19.

Story of HOYA.

00047_PSISDG13216_1321603_page_21_1.jpg

IMS Nanofabrication GmbH (IMS)

IMS pioneered the development of multi-beam mask writers, taking the concept all the way to high-volume manufacturing (HVM) mask writers [91]. The fundamental idea of a multi-beam writer using programmable beams was established between June 1999 and September 2001, as explained in Figure 20. From 2002 to 2009, IMS participated in European Union (EU) projects and successfully developed the first multi-beam writer with 2.5-thousand beams. In 2010, IMS completed the development of POWS (Proof-of-Writing-Strategy) based on two tools: the ion multi-beam tool, CHARPAN (Charged Particle Nanotech), and the electron multi-beam tool, RIMANA (Radical Innovation Maskless Nanolithography). These tools were utilized to demonstrate the patterning of an ideal curvilinear design. In 2012, IMS successfully developed a proof-of-concept (POC) tool utilizing 262-thousand electron beams with a 50keV energy, which has now become the standard for multi-beam mask writers. In 2013, IMS collaborated with JEOL to create an airbearing vacuum stage for their MBMW ALPHA tool. The following year, in 2014, IMS provided BETA tools to Intel and TSMC. In 2016, IMS introduced the first-generation high-volume manufacturing (HVM) tool, MBMW-101, designed for devices with a 7nm node. Fast forward to 2023, IMS increased the number of beams for the MBMW to 590-thousand and unveiled the third-generation HVM tool, MBMW-301, tailored for devices with a 2nm node.

Figure 20.

Story of IMS.

00047_PSISDG13216_1321603_page_22_1.jpg

NuFlare Technology, Inc. (NFT)

NFT was the leading company in VSB writer market. The feasibility study on MBMW started in 2008 and the decision to start development was made in 2011. The first stage of NFT’s development involved concept design and proof from 2010 to 2016 [41]. In the second stage, NFT developed their MBMW tools and introduced the MBM-1000 in 2019, the MBM-2000 in 2021, the MBM-2000PLUS in 2022, and the MBM-3000 in 2023. NFT identified three key factors that contributed to the successful development of MBMW, as shown in Figure 21. Firstly, NFT developed a single-stage acceleration system for electrons with an energy level of 50keV, as well as an aberration correction system to address the impact of concave lenses. Additionally, the lifespan of the blanking aperture array was enhanced through a radiation hardening process. Finally, high-speed writing software was employed to handle the curve data effectively.

Figure 21.

Story of NFT.

00047_PSISDG13216_1321603_page_22_2.jpg

KLA Corp. (KLA)

KLA has established itself as a leading company in the inspection tool business for masks and wafers. Figure 22 demonstrates KLA’s commitment to supporting the mask industry with a robust portfolio of inspection products. KLA offers three types of inspection tools for EUV masks: the 6xx tool utilizing DUV light, the 8xx tool utilizing e-beam, and the 7xx tool utilizing EUV light. The development of the 6xx tool commenced around 2010. Through collaboration with customers and continuous feedback, KLA has successfully validated the capabilities of the 193nm tool for advanced-node EUV masks. Over the past few decades, KLA has made significant advancements in various areas, including an innovative optical path, modeling for database inspection, and sophisticated algorithms. For the 8xx tool, KLA has conducted extensive studies to enhance column performance, enabling full reticle inspection capability with optimized throughput. Customers expect that the unique multi-column architecture offered by KLA will provide the ultimate pattern sensitivity and high reliability, leveraging KLA’s decades of experience in database inspection. Additionally, customers anticipate that KLA’s 7xx tool will serve as a cost-effective, high-image quality alternative to current actinic tools. This tool is expected to be free from Sn contamination and offer a large field of view (FOV) suitable for both 0.33NA and high NA reticles. Customers are eagerly awaiting the completion of the 7xx tool and its support for the future mask inspection roadmap.

Figure 22.

Story of KLA.

00047_PSISDG13216_1321603_page_23_1.jpg

Lasertec Corp. (LASERTEC)

LASERTEC has been at the forefront of mask inspection tools utilizing EUV light [43]. The company recognized early on the need for actinic inspection at the wavelength level during the early phases of EUV mask development. LASERTEC joined the MIRAI project in 2001 to develop a proof-of-concept tool, the SELETE project in 2006 to develop a full-field inspection tool, and the EIDEC project in 2011 to develop an HVM prototype. In 2017, LASERTEC released the first actinic blank inspection tool for high-volume manufacturing (ABICS E100), followed by the release of the first actinic pattern mask inspection tool (APMI, ACTIS A100) in 2019. LASERTEC has developed high-accuracy projection optics to achieve high-resolution EUV imaging. Through over 20 years of continuous development in collaboration with Nikon, LASERTEC has achieved the ultimate wavefront performance of EUV mirrors, thereby enhancing the capability of EUV mask inspection. The high-throughput 45-minute dark field inspection for ABI plays a significant role in ensuring the quality of EUV blanks and reducing printable phase defects on the blanks. LASERTEC has also developed a wide range of EUV source technologies for ABICS and ACTIS. A large plasma Xe DPP source is utilized for large field illumination in ABICS. The LPP light source with a small etendue is applied for high sensitivity pelliclized mask inspection, without causing heat damage from EUV. Appropriate selection of the light source enhances the capability of EUV mask inspection. Both Die to Die and Die to Database inspection methods are available for patterned mask inspection. The data processing speed has reached a few hours per mask, enabling efficient throughput improvement for high data volume curvilinear pattern inspection.

Figure 23.

Story of LASERTEC.

00047_PSISDG13216_1321603_page_24_1.jpg

Carl Zeiss SMT GmbH (ZEISS)

ZEISS dedicated 10 years to the development of the AIMS EUV tool, an EUV aerial image metrology tool used for defect verification [46]. In 2011, ZEISS initiated the development in collaboration with SEMATECH for the AIMS EUV project and reported the first aerial images measured with the AIMS EUV tool in 2014. The first AIMS EUV tool was delivered in 2016, and subsequent upgrades were introduced, including EUV pellicle capability in 2020 and digital flex illumination and phase metrology in 2022.

Figure 24.

Story of ZEISS.

00047_PSISDG13216_1321603_page_25_1.jpg

Additionally, ZEISS has prepared additional upgrades to emulate the high-NA EUV EXE:5000 scanner. Drawing from defect review applications, ZEISS incorporated imaging requirements into its architectural design. This alignment with scanner aerial image properties enables the extension of applications to other pertinent scanner and wafer metrics. ZEISS leveraged three key competences that have had a significant impact on tool development: 1) its extensive experience in EUV optics design and manufacturing, supported by over 2,500 R&D experts, 2) its expertise in precision manufacturing and metrology, and 3) its excellent track record in EUV metrology for optics quality control.

[Request 2] Please provide your answers to the following questions.

(To anonymize the company, the order of the answers given by the company was randomized. Furthermore, the following codes were used: Company name is ααα, EUV Tool or blank is βββ, ArF Tool or blank is γγγ, Cooperation partner or consortium name is δδδ, Key parts name is εεε, Country name is λλλ, and Technology name is ηηη, θθθ, μμμ)

Question 1. In which year was the decision made to start development of your EUV tool or EUV blank?

(1-1) βββ feasibility study started in 2008. The decision to start development was made in 2011.

(1-2) In June 2010, ααα and δδδ decided to start with a C&F study (Concept and Feasibility).

(1-3) ααα started to develop βββ from 2011 in δδδ program.

(1-4) 2000, as EUV project in ααα.

(1-5) 2002.

(1-6) 2003.

(1-7) 2010.

Question 2. In which year did the design process begin?

(2-1) In Middle of 2011, the C&F study was finished. ααα and δδδ decided to start a full R&D project and the realization of products.

(2-2) βββ concept design begun 2001 in δδδ project. βββ HVM tool design begun 2011.

(2-3) 2006 for Proof of Writing tool. 2010 for 1st generation βββ.

(2-4) The design process for the βββ was started in 2012.

(2-5) Around 2000.

(2-6) 2010.

(2-7) 2003.

Question 3. Given the uncertainty at the beginning of the development, how were you able to make such a difficult decision?

(3-1) Systematic risk reduction, realized several functional prototypes as part of λλλ funded projects 2002-2009. Cooperation with end users for requirement definition, RnD-like Beta tool shipments to early customers 2014. Focus on novel components but observing customer preferences: ηηη.

(3-2) Very early days, ααα need to bet all possible technologies as a leading βββ. More firm decision was made once we recognize the industry (equally across ecosystem) bet to EUV technology.

(3-3) Based on the C&F study, it was concluded that ααα, in addition to the typical core competencies, can also build up or organize the necessary competencies to build a full βββ.

(3-4) Customer requirements were the most important factor in the decision, and collaboration offers from customers were a chance to start to development.

(3-5) λλλ government and consortium member had financial support for βββ development. It helps to make decision to develop βββ for HVM.

(3-6) As a market leader, we believe it is essential to develop new tools to sustain technological evolution and enablement.

(3-7) No answer.

Question 4. Was the development conducted internally or through collaboration?

(4-1) Since the time of γγγ development, we have been working with other parties to develop our βββ. Customer support and supply chain cooperation were critical to our development.

(4-2) It was a ααα development project, but of course – for components like ηηη, θθθ, μμμ etc. ααα decided to purchase and/or development of special modules by special suppliers.

(4-3) Mostly internally, but collaboration was used to reduce time to market (e.g. δδδ partner) or provide access to key technologies (εεε) not available on the market.

(4-4) Both of internally and collaboration. δδδ member companies and λλλ government supported the development.

(4-5) Primarily conducted internally with support from our suppliers and collaboration with customers.

(4-6) On top of internal development, consortium work plus collaboration with customers.

(4-7) Collaboration with δδδ and δδδ.

Question 5. What were the most significant challenges faced during that development time?

(5-1) The biggest challenge was to develop an ηηη to control the θθθ compared to γγγ. εεε is key parts for βββ. But technologies for manufacturing it was unfamiliar to us. It took us a long time to complete the development and establish the supply chain for it.

(5-2) Until then, ααα had not developed and manufactured its own complete βββ for the external customer. This meant that a lot of experience had to be made for the first time and development steps had to be adapted accordingly.

(5-3) We were uncertain about achieving a return on investment. Additionally, there are concerns regarding the success of the ηηη in θθθ.

(5-4) Funding shortage until 2015 (then ownership with new shareholder having a strategic interest in βββ success).

(5-5) Keeping ηηη stability enough for stable θθθ.

(5-6) ηηη.

(5-7) ηηη.

Question 6. How were those problems addressed or resolved?

(6-1) Understanding the difference from γγγ accurately and taking countermeasures logically has been effective for our developments works of βββ.

(6-2) Funding was tied to critical progress milestones, risk retirement over time. Investment initially by δδδ, government funding.

(6-3) In principle, a continuous PDCA (Plan, Do, Check, Act) cycle as part of the stage-gate process of product development.

(6-4) Conduct comprehensive simulations to ensure adequate ηηη. Utilize θθθ techniques, and μμμ. (6-5) Fundamental design improvement. And we keep in control all the system.

(6-6) By accessing the state-of-the-art ηηη of consortium (6-7) No answer.

Question 7. What factors played a role in the successful completion of this challenging long-term project?

(7-1) Technological innovation and adaptation: The development of the ηηη involved significant technological advancements. This included the creation of new θθθ and μμμ. Rigorous testing and validation: Extensive testing and validation processes were implemented to ensure the βββ’s reliability and performance.

(7-2) Early customer involvement in Alpha and Beta testing. Plausibility: Track record of meeting goals and schedule as forecasted. Focus on new technology: Cooperation with partner that provided platform technology and brand name of βββ. NRE funding commitment of customers helped to do financing rounds with shareholders.

(7-3) Learning from fails and keeping the mind of never give up have been important for our βββ development. And support from customers have been pushed us to continue development.

(7-4) Integration of research, development, and production engineering. Strong need from customers. The industry accepted cost model (price increase for ηηη to support further θθθ).

(7-5) Willingness to learn, adaptability, patience, customer trust, team spirit. Continuous dialog with suppliers and customers.

(7-6) Support and understanding the development project from mask industry (Customer, supplier and consortium).

(7-7) No answer.

Question 8. How do you envision the future changes in the ecosystem of the mask industry?

(8-1) Use of 0.33NA EUV tools with MP will be used in parallel to prepare the industrial use of High-NA EUV to improve printability of small features. In order to optimize High-NA EUV in production the development and use of larger mask format is probable. Therefore, ααα has developed sound concepts for these scenarios.

(8-2) It will be necessary for the mask industry to become more conscious of sustainability. It is likely that there will be two polarizations in the supply chain: one part will be communized in consideration of the environment, and the other part will be individualized by taking advantage of each supplier’s characteristics.

(8-3) Due to the slow pitch scaling, less advanced tools are being utilized. It is anticipated that there will be an increasing number of βββ use cases over the next few years, primarily driven by the prevalence of 0.33NA pitch use cases.

(8-4) Technology will still drive requirements, harmonizing to common platform will help drive cost.

(8-5) Small pitch patterning is conducted by EUV lithography and it will be well controlled by βββ.

(8-6) In general, the mask eco system is healthy with stable suppliers for each process step. EUV and especially high NA EUV mask technologies will be become more prominent in the future. However, at the same time support for the mature market technologies and legacy tools will be needed and continued.

(8-7) No answer.

Question 9. What type of collaboration is required with customers when developing new tool or blank?

(9-1) Early engagement of customers in defining specifications and requirements, but also extendibility needs and spec forecast. Offer by customers to do a joint evaluation project once a first Alpha/Beta βββ is available. The fastest learning is possible if the customers take a tool early and test in a real production environment. If funding is needed, NRE support of development costs.

(9-2) Dynamic feedback from customers (not only direct customer, but customer’s customer as well, to solve complex issue), sharing results to drive development.

(9-3) Early access to pattern types, material stack configurations, and customer applications (e.g., advanced OPC, PSM, low n/high k reticles, etc.).

(9-4) We expect joint evaluation with customers at an early stage of development.

(9-5) Industry alignment to form healthy eco system and early access to the ηηη.

(9-6) Indicate the technology demand and requirement of the new tools.

(9-7) Close cooperation at the beginning and open exchange about the requirement for future technologies to foster joint projects in path finding as well as in HVM βββ development. Besides Technology is also important to find suitable commercial set ups to finance new developments for both sides: Customer and supplier.

Question 10. What concerns do you have regarding the development of new tool or blank for large size masks or Hyper NA?

(10-1) Business sense – will drivers – commercially or technologically offset the required infrastructure investment, and how to prioritize. Technology sense – lack of equipment infrastructure delays process development, lots of unknowns to be understood and sorted.

(10-2) Standardization should be carried out appropriately and openly, including methods other than large mask. We hope that development in each area will proceed in accordance with milestones based on industry consensus.

(10-3) Principally no concerns, for the βββ there is no fundamental change if the mask size is larger. Leadtime is about ~2.5-3years from start to product.

(10-4) Industry consensus is needed due to the significant investments required and the uncertain return on investment (ROI).

(10-5) ηηη difficulty and requirement of the θθθ for Hyper NA. Cost of the tool development for large size mask.

(10-6) Large size mask tool development requires significant resources and investments several years ahead of the targeted introduction date. One concern is if these high and early investments will pay off for the mask making industry itself.

(10-7) No answer.

Question 11. What do you request when determining the introduction timing of new lithography such as large size masks or Hyper NA?

(11-1) Standardization should be carried out appropriately and openly, including methods other than large mask. We hope that development in each area will proceed in accordance with milestones based on industry consensus.

(11-2) Earliest possible information about requirements and timing. Regular updates of status and plans. Thorough analysis of technical risks and economics before pushing it through.

(11-3) Once the industry decides to adopt larger masks, sufficient time will be needed for development to ensure the delivery of effective solutions.

(11-4) Industry consensus, absolute requirements of the product, commitment from the customers, roadmap, and others? (11-5) Tool requirements information for new tools 3-4 years before release.

(11-6) The change of the media size like large mask must be an all-industry effort. The development of large size mask tools requires significant investments and resources on supplier side. Hence there must be suitable business model and commitments from industry and customer to start development.

(11-7) No answer.

Question 12. What recommendations would you give to the industry regarding the development of new tool or blank for large size masks or Hyper NA?

(12-1) A collaborative approach will be successful, because every single βββ has to support the new mask size which creates a strong dependency. For the individual supplier there needs to be a plausible plan with realistic schedule in order to invest time and resources in the early stage. In cases where there is only one supplier (no second source) a prizing forecast in the early stage is needed to control overall costs.

(12-2) Standardization should be carried out appropriately and openly, including methods other than large mask. We hope that development in each area will proceed in accordance with milestones based on industry consensus.

(12-3) Clear definitions and documentation of different sized masks, along with industrial common standards, are needed. This should include not only the masks but also the pellicles.

(12-4) For hyper NA, as 6x6, define requirements now so research activities can begin. Much less risk than if comparing with 6x12.

(12-5) ααα will make design for inspection tools for large size mask and Hyper-NA.

(12-6) A suitable mechanism for de-risking the efforts of large-mask tool and infrastructure suppliers must be found. (12-7) No answer.

6.

DISCUSSION

Henderson (1990) argued that the traditional classification of innovation as either incremental or radical is insufficient and may lead to misunderstandings [6]. It fails to consider the potentially devastating consequences on industry leaders caused by seemingly minor enhancements in technological products. Additionally, these types of innovations, which differentiate between the product’s components and their integration into the overall architecture, can be classified as architectural innovations. Furthermore, Henderson (1990) demonstrated that architectural innovations render the existing architectural knowledge of established firms obsolete. This is particularly challenging for firms since architectural knowledge becomes deeply embedded within their organizational structure and information-processing procedures, making it difficult to recognize and rectify the damage caused by such innovations [6]. As a result, architectural innovation poses subtle yet significant challenges for established organizations that can have significant implications for their competitiveness. Based on the characteristics of the mask industry and the results of technology development, this paper focused how the leading companies of the EUV mask industry overcame the challenges of incumbents’ dilemma and trap due to their well-established organization for ArF masks and achieved architectural innovations.

Based on the responses to Questions 1 and Question 2 of [Request 2], it was revealed that out of the seven companies, three initiated the development of EUV tools or blanks in the early 2000s, while four started around 2010. Due to the distinct architecture, structure, and material composition of EUV tools or blanks for EUV masks compared to those of ArF masks, a new design process and long term development were necessary. Taking into account that the commercialization of EUV lithography occurred between 2018 and 2023, these seven companies overcame the uncertainty about the timing of the commercialization of EUV mask technology and began resolutely the development of special tool or blank for EUV mask. The responses to Question 3 highlight the diverse efforts undertaken by each company to mitigate risk during the initial stages of development. They began by creating prototypes and conducting concept and feasibility studies. Additionally, they actively sought customer input, participated in consortium or government-led projects to gather technological resources, and prepare themselves accordingly. Every company believed that collaboration with customers was crucial for the development of new tools or blanks and to mitigate the risks associated with incorrect specifications or schedules. Additionally, five companies, specifically AGC, HOYA, IMS, LASERTEC, and ZEISS, actively participated in various consortiums such as ASET, SELETE, EIDEC of the Japanese government, SEMATECH of the USA, and MEDEA+ of the EU.

Furthermore, all seven companies demonstrated a wealth of expertise within their respective domains, enabling them to pursue internal development based on their own capabilities. ZEISS, for instance, highlighted that its EUV project was backed by a team of over 2500 R&D experts, leveraging its precision manufacturing and metrology expertise, as well as its established reputation in EUV metrology for optics quality control. Despite possessing internal technological capabilities, the companies identified specific technologies required for EUV that were better acquired externally. They pursued various methods to secure these technologies, such as seeking collaborative partners or supplier, leveraging consortiums, or purchasing the necessary technologies. They purchased specific technologies or modules from specialized partners or suppliers, as indicated in sections (4-2) and (4-3). However, as indicated in (3-2), decisionmaking was challenging and akin to a gamble. Based on private communications with numerous directors from seven companies, it is widely recognized that there were only one or two individuals who strongly advocated for the development of EUV mask technology, despite facing significant opposition within the company. A few individuals with entrepreneurship firmly believed in the future potential of EUV and made courageous investments to ensure the success of their respective companies. One senior director who actively drove the development of EUV mask technology from the beginning said, “If not us, who else?”

Each company faced unique and significant challenges during the development process. Answer (5-1) highlights a specific key component, (5-2) reflects a lack of experience, (5-3) focuses on return on investment, (5-4) addresses funding shortages, and (5-5) pertains to technology problems related to stability, among others. While the solutions to these challenges varied across companies, they provide valuable insights into the different approaches that can be taken when encountering obstacles during architectural innovation of a product. Answer (6-1) emphasizes the importance of understanding the fundamental differences between the old and new product. (6-3) highlights the continuous PDCA (Plan, Do, Check, Act) cycle within the stage-gate process of product development. (6-5) underscores the significance of fundamental design improvement, and (6-4) emphasizes the need for comprehensive simulations to ensure technological adequacy.

The answers to Question 7 provide the factors that contributed to the successful completion of the demanding long-term EUV mask technology project for each company. (7-1) highlights the significance of technological innovation and adaptation. The development of key technologies involved substantial advancements and rigorous testing and validation processes. Extensive testing and validation were implemented to ensure the reliability and performance of EUV tools or blank technology. (7-2) emphasizes the importance of early customer involvement in the Alpha and Beta testing phases. Furthermore, the responses highlighted additional factors that contributed to the success of the project. They included the ability to consistently meet goals and adhere to schedules, as well as a focus on new technologies through partnerships with platform technology providers. (7-3) emphasized the importance of learning from failures and maintaining a resilient mindset throughout the development of new tools. Support from customers played a crucial role in driving the company’s continued development efforts. (7-4) emphasized the integration of research, development, and production engineering, along with a strong demand from customers. Additionally, the cost model needed to be acceptable to industry customers. (7-5) underscored the value of willingness to learn, adaptability, patience, customer trust, team spirit, and ongoing dialogue with suppliers and customers. (7-6) demonstrated the significance of support and understanding from the mask industry, including customers, suppliers, and consortiums, in driving the development project forward.

In summary of the above discussion, the five factors that led to the success of architectural innovation in the EUV mask industry by seven companies are summarized in Figure 25. To achieve architectural innovation for new products, all seven companies stressed the importance of internal capabilities in overcoming technical challenges. They attributed their success to the expertise and extensive knowledge developed through years of experience. The second factor is strong investment; due to the extensive cash burn and enormous resource requirements associated with long-term development, only leading companies with sufficient resources could sustain and fully support the development efforts. Moreover, risks associated with developing a new architecture development was managed through a step-by-step approach, starting with concept and feasibility testing, progressing to proof of concept tools, and ultimately deploying alpha and beta tools at customer sites. Additionally, certain crucial technologies were obtained through acquisitions, emphasizing the importance of robust investment. The leading companies, except for IMS and AGC (newcomers in the mask industry), generated substantial profits from the ArF tool or blank market, enabling them to make decisive investment decisions. External collaboration, such as consortiums or strong customer cooperation, was key factor to mitigate the risk of innovation.

Figure 25.

Factors that led to the success of architectural innovation.

00047_PSISDG13216_1321603_page_32_1.jpg

The fourth and fifth factors are entrepreneurship and ambidextrous organization, although these are not explicitly commented on by the answers of leading companies. Whenever senior directors or managers of leading companies explain the history of EUV tool or blank development and how their company started the EUV project, most people attribute one or two top managers who had a vision that ‘EUV will be our future and we have to be the leading company in the EUV era’. Despite the difficulties in development, which caused delays or required additional investment, he or she resiliently supported the EUV project. Furthermore, they managed independently the R&D organization for EUV development and decided the best timing for investment. This special team for EUV tool or blank development was highly aligned with the strategy of the overall organization but loosely coupled with R&D or manufacturing teams for ArF masks. The ambidextrous organization is a well-known solution to the exploration and exploitation dilemma, allowing an organization to pursue both goals simultaneously [93]. It operates by separating the exploration and exploitation functions within the organization and having them work together to achieve the goals. To facilitate cooperation between the two departments, it is important to establish communication channels within the organization and to promote information sharing and feedback. This allows the organization to balance both goals while pursuing them simultaneously. This structure enhances the organization’s flexibility and agility, helping it respond to rapidly changing market conditions.

By analyzing the cash flow curve and associated risks, we can understand how seven leading companies managed risks through five factors during the stages of idea generation, commercialization, and realization, as shown in Figure 26. Technological capabilities addressed development risks, while market risks were mitigated by joining consortia and maintaining strong customer cooperation. Scarcity of resources and cash burn were managed through continuous investment from profits in the ArF mask market. Management risks were tackled by creating an ambidextrous organization, with a dedicated EUV team separate from the ArF mask business division. Finally, the successful initiation of EUV projects, decisive investment decisions, and resilient R&D efforts were driven by the vision and entrepreneurship of a few top managers.

Figure 26.

Cumulative cash curve and five factors to mitigate the risks.

00047_PSISDG13216_1321603_page_33_1.jpg

Guides for Next Technology of EUV Mask

Many researchers are actively developing various lithography technologies to serve as alternatives to EUV lithography, which is the primary technology adopted by advanced device manufacturers. Imprint lithography, particularly nanoimprint lithography (NIL), is a high-resolution, cost-effective technique for replicating nano-scale features by using low viscosity resist and UV crosslinking. NIL’s compact design and lack of complex optics make it more affordable and efficient compared to photolithography. Shudo (2024) reported the application of NIL for various semiconductor devices and the improved overlay and defect performances [93]. Due to photon shot noise and the inhomogeneous distribution of molecular components in a chemically amplified resist, patterns defined by extreme ultraviolet (EUV) lithography tend to suffer from stochastic variations. Verstraete (2023) presented Directed self-assembly (DSA) of block-copolymers offers a potential solution to mitigate these variations [94]. His work discusses DSA rectification process for line/space and hexagonal contact-hole patterns, highlighting its benefits and ongoing challenges for improvement. On the other hand, MacWilliams (2023) addressed that Multibeam Corporation’s Multicolumn Electron Beam Lithography (MEBL) system significantly enhances productivity compared to conventional single-electron-beam lithography, offering 10 to 100 times the productivity. MEBL combines high resolution with advantages like an over 100x larger depth of focus, full-wafer writing capabilities, and maskless direct writing, making it adaptable for various applications including semiconductor device [95].

However, EUV lithography is continuously advancing and evolving, driven by the promising roadmap of High-NA and Hyper-NA EUV technologies from semiconductor tool and material suppliers [96,97], as well as various complementary technologies. An additional promising idea is the use of a large-size mask, measuring 6 inches by 12 inches—twice the size of the current 6-inch by 6-inch mask—to improve throughput in current EUV lithography and mitigate stitching issues associated with High-NA EUV for large die devices [98,99]. The fact that it took approximately ten years for the current EUV lithography to be adopted in production by five customers after the development of the production scanner highlights significant insights into the preparation and diffusion process for introducing High-NA, Hyper-NA, and large-size masks, which necessitates substantial investment from the mask industry. As illustrated in Figure 15 (a) and (b), the introduction of new technology should be evaluated from the perspective of competition between existing and new technologies, considering the extension opportunities for the old technology and the ecosystem readiness & profitability of the new technology. The answers to Questions 8 through 12 in Request 2 provide guidance on the preparation for new technology and its investment timing.

Seven leading companies present the guide as followings; The use of 0.33NA EUV tools will be continuously used in parallel to prepare the industrial use of High-NA EUV to improve printability of small features. In order to optimize High-NA EUV in production the development and use of larger mask format is probable. It will be necessary for the mask industry to become more conscious of sustainability. It is likely that there will be two polarizations in the supply chain: one part will be communized in consideration of the environment, and the other part will be individualized by taking advantage of each supplier’s characteristics. Technology will still drive requirements, harmonizing to common platform will help drive cost. Furthermore, they advise the type of collaboration required with customers when developing new tools; early engagement of customers in defining specifications and requirements, but also extendibility needs and specification forecast. It will be beneficial to do a joint development project or joint evaluation project with customers once a first Alpha/Beta tool is available. The fastest learning is possible if the customers take a tool early and test in a real production environment. Dynamic feedback from customers (not only direct customer, but customer’s customer as well, to solve complex issue) and sharing results to drive development are essential to develop new tool or blanks with new architecture, structure or materials. Early access to pattern types, material stack configurations of mask, and customer applications is import for both supplier and customer.

For the development of new tools or blanks for large-size masks or Hyper-NA EUV, the following considerations are noted:

Business Considerations: It is essential to evaluate whether commercial or technological drivers will offset the necessary infrastructure investment and to establish appropriate prioritization. In the case of large-size masks, significant investments from both the blank supplier and the mask shop are required due to the need for a specialized factory.

Technological Considerations: The lack of existing equipment infrastructure can delay process development, and there are numerous unknowns that need to be addressed. Each supplier must have a feasible plan with a realistic timeline to justify early-stage investments in time and resources. In cases with a single supplier (i.e., no alternative source), early-stage pricing forecasts are crucial to manage overall costs effectively.

Standardization: Standardization should be conducted in a transparent and comprehensive manner, including methods beyond just large masks. Industry consensus is critical due to the substantial investments required and the uncertain return on investment (ROI).

For Hyper-NA: When using a 6-inch by 6-inch mask, it is advisable to define requirements early to enable the initiation of research activities with reduced risk, compared to using a 6-inch by 12-inch mask. Once the industry decides to adopt larger masks, adequate time must be allocated for development to ensure effective solutions and obtain timely information on requirements. Regular updates on status and plans are important. A thorough analysis of technical risks and economic factors should be conducted before proceeding.

ACKNOWLEDGEMENTS

I would like to express my appreciation to the following Samsung members (Choonghan Ryu, Inhwan Noh, Yongseok Jung, Young-Su Sung, Man-Kyu Kang, Han-June Yoon, Sang Hoon Han, SangHyeon Lee, JongKeun Oh, Sanguk Park, Hyonseok Song, Sukjong Bae, Sukho Lee, Sinjeung Park, Hye-Kyoung Lee) for their valuable contributions to the discussions and preparation of this manuscript. Additionally, I extend my gratitude to numerous suppliers (listed in alphabetical order: AGC, Hoya, IMS, Lasertec, Nuflare, KLA, ZEISS) for providing the development history data and responding to the survey questions regarding the development of EUV mask technology.

REFERENCES

[1] 

Melissa A. Schilling, “Strategic management of technological innovation,” McGraw-Hill, 1 –12 (2017). Google Scholar

[2] 

E. S. Jung, “4th Industrial Revolution and Boundry: Challenges and Opportunities,” in 2018 IEEE International Electron Devices Meeting, 1 –1 (2018). https://doi.org/http://doi.org/10.1109/IEDM.2018.8614509 Google Scholar

[4] 

James M. Utterback and William J. Abernathy, “A dynamic model of process and product innovation,” Omega, 3 (6), 639 –656 (1975). https://doi.org/10.1016/0305-0483(75)90068-7 Google Scholar

[5] 

Michael L. Tushman and Philip Anderson, “Technological discontinuities and organizational environments,” Administrative Science Quarterly, 31 (3), 439 –465 (1986). https://doi.org/10.2307/2392832 Google Scholar

[6] 

Rebecca M. Henderson and Kim B. Clark, “Architectural innovation: The reconfiguration of existing product technologies and the failure of established firms,” Administrative Science Quarterly, 35 (1), 9 –30 (1990). https://doi.org/10.2307/2393549 Google Scholar

[7] 

Arnold C. Cooper and Clayton G. Smith, “How established firms respond to threatening technologies,” Academy of Management Executive, 6 (2), 55 –70 (1992). https://doi.org/10.5465/ame.1992.4274396 Google Scholar

[8] 

M. Tripsas, “Unraveling the process of creative destruction: Complementary assets and incumbent survival in the typesetter industry,” Strategic Management Journal, 18 (S1), 119 –142 (1997). https://doi.org/10.1002/(ISSN)1097-0266 Google Scholar

[9] 

Xu Li, “When firms may benefit from sticking with an old technology,” Strategic Management Journal, 45 (3), 399 –428 (2024). https://doi.org/10.1002/smj.3551 Google Scholar

[10] 

Clayton M. Christensen and Joseph L. Bower, “Customer power, strategic investment, and the failure of leading firms,” 197 –218 (19961996). https://doi.org/10.1002/(ISSN)1097-0266 Google Scholar

[11] 

Clayton M. Christensen, “The innovator’s dilemma: when new technologies cause great firms to fail,” Harvard Business Review Press(2016). Google Scholar

[12] 

Clayton M. Christensen, et. al, “Disruptive innovation: An intellectual history and directions for future research,” Journal of Management Studies, 55 (7), 1043 –1078 (2018). https://doi.org/10.1111/joms.v55.7 Google Scholar

[13] 

Susanne Van Der Velden, Mohammad Nasir Nasiri, Niels G. Noorderhaven and Henk Akkermans, “Incumbent success in the era of Ferment: The selection of the next Generation of Technology,” in Academy of Management Proceedings 2019, 18560 (2019). https://doi.org/10.5465/AMBPP.2019.18560abstract Google Scholar

[14] 

Ron Ander and Rahul Kapoor, “Innovation ecosystems and the pace of substitution: Re-examining technology S-curves,” Strategic Management Journal, 37 (4), 625 –648 (2015). https://doi.org/10.1002/smj.2363 Google Scholar

[15] 

“Handbook of photomask manufacturing technology,” CRC Press(2018). https://doi.org/10.1201/9781420028782 Google Scholar

[16] 

A. Travis White, “Evolution of the photomask industry,” in Proc. SPIE 1604, 11th Annual BACUS Symposium on Photomask Technology, 2 (1992). https://doi.org/10.1117/12.56957 Google Scholar

[17] 

Ed Muzio and Phil Seidel, “Mask cost of ownership for advanced lithography,” in Proc. SPIE 4066, Photomask and Next Generation Lithography Mask Technology VII, 73 (2000). https://doi.org/10.1117/12.392078 Google Scholar

[18] 

Scott Hector, “The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments,” in Proc. SPIE 5992, 25th Annual BACUS Symposium on Photomask Technology, 727 (2005). https://doi.org/10.1117/12.637597 Google Scholar

[19] 

Charles M. Weber and C. Neil Berglund, “Mask cost and profitability in photomask manufacturing: An empirical analysis,” IEEE Transactions on Semiconductor Manufacturing, 19 (4), 465 –474 (2006). https://doi.org/10.1109/TSM.2006.883577 Google Scholar

[20] 

Bud Caverly, “The semiconductor world of the 2020s according to photomasks: how semiconductor growth depends upon solving photomask market challenges,” in Proc. SPIE 11855, Photomask Technology 2021, 11855I (2021). https://doi.org/10.1117/12.2600973 Google Scholar

[21] 

Les Dahl and Bud Caverly, “Factors driving merchant photomask growth and shortages,” in Proc. SPIE 12751, Photomask Technology 2023, 12751H (2023). https://doi.org/10.1117/12.2688593 Google Scholar

[23] 

Takashi Ito and Shinji Okazaki, “Pushing the limits of lithography,” Nature, 406 (6799), 1027 –1031 (2000). https://doi.org/10.1038/35023233 Google Scholar

[24] 

Greg Tallents, Erik Wagenaars, and Geoff Pert, “Lithography at EUV wavelengths,” Nature Photonics, 4 (12), 809 –811 (2010). https://doi.org/10.1038/nphoton.2010.277 Google Scholar

[25] 

Christian Wagner and Noreen Harned, “Lithography gets extreme,” Nature Photonics, 4 (1), 24 –26 (2010). https://doi.org/10.1038/nphoton.2009.251 Google Scholar

[26] 

Greg Linden, et al., “National technology policy in global markets: Developing Next-Generation Lithography in the semiconductor industry,” Business and Politics, 2 (2), 93 –113 (2000). https://doi.org/10.2202/1469-3569.1005 Google Scholar

[28] 

Tiberio Ceccotti, “EUV lithography development in Europe: present status and perspectives,” in Proc. SPIE 5196, 57 (2004). https://doi.org/10.1117/12.510247 Google Scholar

[29] 

Vivek Bakshi, “EUV lithography,” Second editionSPIE,2018). Google Scholar

[30] 

Stefan Wurm, et al, “EUV lithography,” Third editionCRC Press,2020). https://doi.org/10.1201/9781315117171 Google Scholar

[31] 

Mark Neisser and Stefan Wurm, “ITRS lithography roadmap: 2015 challenges,” Advanced Optical Technologies, 4 (4), 235 –240 (2015). https://doi.org/10.1515/aot-2015-0036 Google Scholar

[34] 

Pei-yang Yan, “Handbook of Photomask Manufacturing Technology,” CRC Press(2018). Google Scholar

[35] 

Ted Liang, et al., “EUV mask infrastructure readiness and gaps for TD and HVM,” in Proc. SPIE 9635, 46 (2015). https://doi.org/10.1117/12.2202724 Google Scholar

[36] 

Yusuke Hirabayashi, “Development status of EUVL mask blank and substrate,” in Proc. SPIE 8166, 989 (2011). https://doi.org/10.1117/12.897266 Google Scholar

[37] 

Takahiro Onoue, “Development of EUV blanks: history and future challenge,” in Proc. SPIE PC12325, Photomask Japan 2022: XXVIII Symposium on Photomask and Next-Generation Lithography Mask Technology, PC1232504 (2022). https://doi.org/10.1117/12.2656119 Google Scholar

[38] 

Takahiro Onoue, et al., “Development of next generation EUV mask blanks,” in Proc. SPIE 13177, Photomask Japan 2024: XXX Symposium on Photomask and Next-Generation Lithography Mask Technology, 1317702 (2024). https://doi.org/10.1117/12.3034338 Google Scholar

[39] 

Bassam Shamoun, et al., “Multi-beam mask writer in EUV era: challenges and opportunities,” in Proc. SPIE 11610, Novel Patterning Technologies, 64 (2021). https://doi.org/10.1117/12.2586863 Google Scholar

[40] 

Christof Klein and Elmar Platzgummer, “MBMW-101: World’s 1st high-throughput multi-beam mask writer,” in Proc. SPIE 9985, Photomask Technology 2016, 998505 (2016). https://doi.org/10.1117/12.2243638 Google Scholar

[41] 

Hiroshi Matsumoto, et al., “Multi-beam mask writer MBM-1000,” in Proc. SPIE 10451, Photomask Technology 2017, 1045117 https://doi.org/10.1117/12.2280502 Google Scholar

[42] 

Scott D. Hector and Kevin Kemp, “EUVL mask challenges and how International SEMATECH is addressing them,” in Proc. SPIE 5446, Photomask and Next-Generation Lithography Mask Technology XI, 792 (2004). https://doi.org/10.1117/12.557813 Google Scholar

[43] 

Toshiyuki Todoroki, Hiroki Miyai, “Actinic patterned mask inspection for EUV lithography,” in Proc. SPIE 12915, Photomask Japan 2023: XXIX Symposium on Photomask and Next-Generation Lithography Mask Technology, 1291502 https://doi.org/10.1117/12.2685011 Google Scholar

[44] 

Priyank Jain, et al., “Teron 647e next-generation system for advanced EUV mask inspection,” in Proc. SPIE PC12751, Photomask Technology, PC127510 (2023). https://doi.org/10.1117/12.3007591 Google Scholar

[45] 

Kunal Rohilla, et al., “Multi-column e-beam inspection system for advanced EUV reticles,” in Proc. SPIE PC12750, International Conference on Extreme Ultraviolet Lithography 2023, PC127500E (2023). https://doi.org/10.1117/12.3007916 Google Scholar

[47] 

Dirk Hellweg, et al., “AIMS™ EUV - the actinic aerial image review platform for EUV masks,” in Proc. SPIE 7969, Extreme Ultraviolet (EUV) Lithography II, 79690H (2011). https://doi.org/10.1117/12.879422 Google Scholar

[48] 

Renzo Capelli, et al., “AIMS™ EUV first insertion into the back end of the line of a mask shop: a crucial step enabling EUV production,” in Proc. SPIE 10810, Photomask Technology 2018, 108100S (2019). https://doi.org/10.1117/12.2503361 Google Scholar

[49] 

In-hwan Noh, et al., “MBMW’s comprehensive requirements and roles for next EUV era and its mass production,” in Proc. SPIE PC12956, Novel Patterning Technologies 2024, PC1295605 (2024). https://doi.org/10.1117/12.3009572 Google Scholar

[50] 

Eun Sung Kim, et al., “Understanding best focus shift on logic contact patterning in EUV attenuated phase-shift mask,” in To be presented at Photomask Technology Conference, (2024). Google Scholar

[51] 

Hyoyeon Kim, et al., “Improvement of mask pattern placement error using novel resist charging control methodology in multi-beam mask writer,” in Proc. SPIE 13177, Photomask Japan 2024: XXX Symposium on Photomask and Next-Generation Lithography Mask Technology, 131770V (2024). https://doi.org/10.1117/12.3032199 Google Scholar

[52] 

Cheolki Min, et al., “EUV mask inspection technologies with actinic tool for DRAM and logic lithography,” in Proc. SPIE 13177, Photomask Japan 2024: XXX Symposium on Photomask and Next-Generation Lithography Mask Technology, 131770P (2024). https://doi.org/10.1117/12.3031837 Google Scholar

[53] 

Jongkil Choi, et al., “EUV Mask Defect Mitigation Strategy at Samsung Foundry,” in To be presented at Photomask Technology Conference, (2024). Google Scholar

[54] 

Linyong Leo Pang and Aki Fujimura, “Why the mask world is moving to curvilinear,” in Proc. SPIE 12954, DTCO and Computational Patterning III, 1295416 (2024). https://doi.org/10.1117/12.3014640 Google Scholar

[55] 

Frank E. Abboud, et al, “Mask Data Processing in the Era of Multibeam Writers,” in Proc. SPIE 9235, Photomask Technology 2014, 92350W (2014). https://doi.org/10.1117/12.2072135 Google Scholar

[56] 

Kyungsup Shin, et al., “New multi-beam mask data preparation method for EUV high volume data,” in Proc. SPIE 12915, Photomask Japan 2023: XXIX Symposium on Photomask and Next-Generation Lithography Mask Technology, 129150D (2023). https://doi.org/10.1117/12.2683168 Google Scholar

[57] 

S. F. Schulze, et al., “OASIS: progress on implementing the new stream format for containing data size explosion,” in Proc. SPIE 5504, 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents, 53 (2004). https://doi.org/10.1117/12.568027 Google Scholar

[58] 

Jin Choi, et al, “Requirements of data technology for EUV photomask,” in Proc. SPIE 11148, Photomask Technology 2019, 111480F https://doi.org/10.1117/12.2538411 Google Scholar

[59] 

Jin Choi, et al, “Curvilinear data format working group for MBMW era,” in Proc. SPIE 11610, Novel Patterning Technologies 2021, 64 (2021). https://doi.org/10.1117/12.2587109 Google Scholar

[60] 

Jin Choi, et al., “Status of curvilinear data format working group,” in Proc. SPIE 12325, Photomask Japan 2022: XXVIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 1232508 (2022). https://doi.org/10.1117/12.2641557 Google Scholar

[61] 

Jin Choi, et al., “Study on various curvilinear data representations and their impact on mask and wafer manufacturing,” Journal of Micro/Nanopatterning, Materials, and Metrology, 20 (4), 041403 (2021). https://doi.org/10.1117/1.JMM.20.4.041403 Google Scholar

[62] 

SEMI Photomask characterization study, Google Scholar

[64] 

Bud Caverly, et al., “The Semiconductor World of the 2020s According to Photomasks How semiconductor growth depends upon solving photomask market challenges,” in Proc. SPIE 11855, Photomask Technology 2021, 118550I (2021). https://doi.org/10.1117/12.2600973 Google Scholar

[65] 

Les Dahl and Bud Caverly, “Factors Driving Merchant Photomask Growth and Shortages,” in Proc. SPIE 12751, Photomask Technology 2023, 127510H https://doi.org/10.1117/12.2688593 Google Scholar

[66] 

Michael E. Porter, “The five competitive forces that shape strategy,” Harvard business review, 86 (1), 78 (2008). Google Scholar

[67] 

Franklin Kalk, “The future is bright (for those with open eyes),” in presented at Plenary talk of Photomask Technology Conference, (2010). Google Scholar

[68] 

Robert M. Solow, “Technical change and the aggregate production function,” The review of Economics and Statistics, 39 (3), 312 –320 (1957). https://doi.org/10.2307/1926047 Google Scholar

[69] 

David J. Teece, et al., “Dynamic capabilities and strategic management,” Strategic Management Journal, 18 (7), 509 –533 (1997). https://doi.org/10.1002/(ISSN)1097-0266 Google Scholar

[70] 

Rebecca M. Henderson and Kim B. Clark, “Architectural innovation: The reconfiguration of existing product technologies and the failure of established firms,” Administrative Science Quarterly, 35 (1), 9 –30 (1990). https://doi.org/10.2307/2393549 Google Scholar

[71] 

Charles A. O’Relly and Michael L. Tushman, “The ambidextrous organization,” Harvard Business Review, 82 (4), 74 –83 (2004). Google Scholar

[72] 

Clayton M. Christensen, et al., “Disruptive innovation: An intellectual history and directions for future research,” Journal of Management Studies, 55 (7), 1043 –1078 (2018). https://doi.org/10.1111/joms.v55.7 Google Scholar

[73] 

James G. March, “Exploration and exploitation in organization learning,” Organization Science, 2 (1), 71 (1991). https://doi.org/10.1287/orsc.2.1.71 Google Scholar

[74] 

Juha Uotila, et al., “Exploration, exploitation, and financial performance: analysis of S&P 500 corporations,” Strategic Management Journal, 30 (2), 221 –231 (2009). https://doi.org/10.1002/smj.v30:2 Google Scholar

[75] 

Daniella Laureiro-Martínez, et al., “Understanding the exploration–exploitation dilemma: An fMRI study of attention control and decision-making performance,” Strategic Management Journal, 36 (3), 319 –338 (2015). https://doi.org/10.1002/smj.2015.36.issue-3 Google Scholar

[76] 

Aki Fujimura and Jan Willis, “eBeam Initiative Surveys Report Upbeat Photomask Market Outlook,” in Proc. SPIE 11908, Photomask Japan 2021: XXVII Symposium on Photomask and Next-Generation Lithography Mask Technology, 119080C (2021). https://doi.org/10.1117/12.2601110 Google Scholar

[77] 

Katarina Janoskova and Pavol Kral, “Acceptance of Risk of Innovations as an Important Assumption of Innovative Organization,” in International Conference on Information, Communication and Social Sciences (ISSGBM-ICS 2016), 3 –7 (2016). Google Scholar

[78] 

James P. Andrew and Harold L. Sirkin, “Using the cash curve to discuss and discipline innovation investments,” Strategy & Leadership, 35 (4), 11 –17 (2007). https://doi.org/10.1108/10878570710761336 Google Scholar

[79] 

James P. Andrew and Harold L. Sirkin, “Payback: Reaping the Rewards of Innovation,” (2007). Google Scholar

[80] 

Richard N. Foster, “Innovation: The Attacker’s Advantage,” (1986). Google Scholar

[81] 

James M. Utterback, “Mastering the Dynamics of Innovation: How Companies can Seize Opportunities in the Face of Technological Change,” (1994). Google Scholar

[82] 

Ashish Sood & Gerard J. Tellis, “Technological evolution and radical innovation,” Journal of Marketing, 69 (3), 152 –168 (2005). https://doi.org/10.1509/jmkg.69.3.152.66361 Google Scholar

[83] 

Ron Adner, “When are technologies disruptive: a demand-based view of the emergence of competition,” Strategic Management Journal, 23 (8), 667 –688 (2002). https://doi.org/10.1002/smj.v23:8 Google Scholar

[84] 

Stefan Stremersch, et al., “Indirect network effects in new product growth,” Journal of Marketing, 71 (3), 52 –74 (2007). https://doi.org/10.1509/jmkg.71.3.052 Google Scholar

[85] 

Anthony Yen, “EUV Lithography: from the very beginning to the eve of manufacturing,” in Proc. SPIE 9776, Extreme Ultraviolet (EUV) Lithography VII, 758 (2016). https://doi.org/10.1117/12.2236044 Google Scholar

[86] 

Hiroo Kinoshita, et al., “Study on X-ray reduction projection lithography,” 47th Autumn Meeting Japan Society of Applied Physics, Paper, (28-ZF), (1986). Google Scholar

[88] 

Alan R. Stivers, et al., “EUV mask pilot line at Intel Corporation,” in Proc. SPIE 5567, 24th Annual BACUS Symposium on Photomask Technology, 13 (2004). https://doi.org/10.1117/12.569176 Google Scholar

[92] 

Charles A. O’Reilly and Michael L. Tushman, “The ambidextrous organization,” Harvard Business Review, 82 (4), 74 –83 (2004). Google Scholar

[93] 

Shinichi Shudo, et al., “Nanoimprint performance improvements for high volume semiconductor device manufacturing,” in Proc. SPIE 13177, Photomask Japan 2024: XXX Symposium on Photomask and Next-Generation Lithography Mask Technology, 1317708 (2024). https://doi.org/10.1117/12.3034104 Google Scholar

[94] 

L. Verstraete, et al., “Mitigating stochastics in EUV lithography by directed self-assembly,” in Proc. SPIE 12497, Novel Patterning Technologies 2023, 124970I (2023). https://doi.org/10.1117/12.2657939 Google Scholar

[95] 

Kenneth P. MacWilliams, et al, “High-productivity direct-write e-beam lithography: an enabling patterning technology to augment your lithography toolbox,” in Proc. SPIE 12497, Novel Patterning Technologies 2023, 1249705 (2023). https://doi.org/10.1117/12.2658237 Google Scholar

[96] 

Inhwan Lee, et al., “Hyper NA EUV lithography: an imaging perspective,” Journal of Micro/Nanopatterning, Materials, and Metrology, 22 (4), 043202 (2023). https://doi.org/10.1117/1.JMM.22.4.043202 Google Scholar

[97] 

W. Ethan Maguire and Bruce W. Smith, “Genetic optimization of aperiodic multilayer masks for high and hyper- NA EUV lithography,” in Proc. SPIE 12953, Optical and EUV Nanolithography XXXVII, 441 (2024). https://doi.org/10.1117/12.3010983 Google Scholar

[99] 

Katrina Rook, Kenji Yamamoto, Mario Roque, Antonio Checco, Marjorie Chee, Meng H. Lee, “Ion beam deposition for larger form-factor EUV mask blanks and pellicles,” in Proc. SPIE 12751, Photomask Technology 2023, 127510F (2023). https://doi.org/10.1117/12.2687706 Google Scholar
(2024) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Jin Choi "EUV mask technologies: evolution and ecosystem for devices", Proc. SPIE 13216, Photomask Technology 2024, 1321603 (12 November 2024); https://doi.org/10.1117/12.3037237
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Industry

Extreme ultraviolet lithography

Lithography

Semiconductors

Ecosystems

Organization management

Back to Top