Open Access
22 August 2018 Studying the effects of chemistry and geometry on DSA hole-shrink process in three-dimensions
Chun Zhou, Tsuyoshi Kurosawa, Takahiro Dazai, Jan Doise, Jiaxing Ren, Cody Bezik, Tamar Segal-Peretz, Roel Gronheid, Paulina Rincon-Delgadillo, Akiyoshi Yamazaki, Juan de Pablo, Paul F. Nealey
Author Affiliations +
Abstract
Acquiring three-dimensional (3-D) information becomes increasingly important for the development of block copolymer (BCP) directed self-assembly (DSA) lithography, as two-dimensional imaging is no longer sufficient to describe the 3-D nature of DSA morphology and probe hidden structures under the surface. Using the post-DSA membrane fabrication technique and scanning transmission electron microscopy tomography, we were able to characterize the 3-D structures of BCP in graphoepitaxial DSA hole shrink process. Different DSA structures of singlets formed in templated holes with different surface chemistry and geometry were successfully captured and their 3-D shapes were reconstructed from tomography data. The results reveal that strong polystyrene-preferential sidewalls are necessary to create vertical DSA cylinders and that template size outside of process window could result in defective DSA results in 3-D. Our study as well as the established 3-D metrology would greatly help to develop a fundamental understanding of the key DSA factors for optimizing the graphoepitaxial hole shrink process.

1.

Introduction

Directed self-assembly (DSA) of block copolymers (BCP) has been widely investigated as a potential patterning solution to extend lithography to generate smaller and denser features.1,2 Graphoepitaxial DSA of cylinder-forming BCP is a promising implementation of DSA to fabricate uniform holes well below the conventional lithography resolution.3 In a typical DSA hole shrink process, the guide holes matching the BCP size are first made using conventional lithographic method. Then, a cylinder-forming polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) is spin-coated and phase separated in the confined space. During annealing, vertical PMMA cylindrical domains are formed in the center of the hole guide patterns. After selectively removing PMMA block, the shrinkage of hole pattern dimensions depending on the molecular weight of PS-b-PMMA is achieved.3,4

Currently, most studies are evaluating the DSA hole shrink process based on observations from two-dimensional (2-D) top view images obtained from scanning electron microscopes (SEM), such as statistical studies of process window.5 The insight of three-dimensional (3-D) BCP morphologies inside the holes is limited. Judging from top view images solely could result in the misinterpretations when analyzing the defectively of DSA hole shrink process because the defects might be hidden under the surface.6 Although some simulation studies have predicted that the 3-D DSA structure is affected by factors including the size of guide holes, the compositions of BCP, and the affinity of surface,710 it is often difficult to evaluate the accuracy of these simulation results due to the lack of direct comparison from the experimental results.6 Therefore, it is very valuable to obtain precise 3-D information of BCP assembled inside the holes.

Here, we developed a 3-D metrology for graphepitaxial hole shrink process using post-DSA membrane fabrication techniques and scanning transmission electron microscopy (STEM) tomography.11,12 The self-assembly of PS-b-PMMA cylinders was directed by guide holes made of spin-on carbon (SOC) on silicon nitride-coated Si substrate, which was then made into membrane sample for TEM characterization. Two different brushes were used to modify the affinity of the sidewall and bottom surface separately. The effect of the surface modification on confined cylinder morphology was studied using STEM tomography. By comparing the reconstruction results with simulated morphologies, we were able to predict how different surface conditions would result in the defective DSA structure. A similar 3-D metrology was applied to the 300-mm track-processed wafer. 3-D structures of singlets with different template size were reconstructed from tomography series. Different defective morphologies when templates were too small or too large were analyzed.

2.

Experimental

In this section, we describe the DSA hole shrink sample fabrication followed by the TEM sample preparation as shown in Fig. 1.

Fig. 1

Schematic illustration of DSA hole-shrink process and TEM sample preparation.

JM3_17_3_031203_f001.png

2.1.

Materials

SOC and spin-on-glass (SOG) solutions were provided by Tokyo Ohka Kogyo Co. (TOK) and used as received. GL-2000-12 E-beam resist was purchased from Gluon. Two polystyrene-based brushes A and B with different end groups were synthesized by TOK and used as received. Cylinder-forming PS-b-PMMA (L0, center-to-center periodicity = 38 nm) as well as a lamella-forming PS-b-PMMA (L0=32  nm) were synthesized by TOK and used as received. 200-μm-thick silicon wafers (N-type doped, 100 orientation, double-side polished) coated with 30-nm thick low-stress silicon nitride (SiNx) on both sides were purchased from pure wafer.

2.2.

Graphoepitaxy Process Flow

The templated DSA approach in this study is similar to the one developed by imec.13 The substrate was spin coated with 100  nm of SOC and 30  nm of SOG and 60  nm of e-beam resist. E-beam lithography was performed to write hole patterns with 55  nm in diameter and 110 nm pitch. The hole patterns were transferred from resist layer into the SOG and then from SOG to SOC through dry etch using CF4 and O2 gas, respectively. The SOG was removed by buffered oxide etchant. Brush A or B was grafted on the templates by spin-coating from solution, annealing at 280°C for 1 min and organic solvent rinsing. The cylinder-forming PS-b-PMMA was spin coated on the templates to a film thickness of 20  nm (as measured from a similarly cast film on bare silicon) and annealed at 250°C for 1 min under a N2 environment. The DSA samples made from 300 mm track-processed wafer was prepared in imec using photolithography and different brushes and BCP as described in the literature.14

2.3.

Characterization of Surface Properties

Wafers coated with flat SOC and SiNx films and gone through the same O2 etch process are used to mimic the bottom and sidewall surface. Brushes A and B were applied to different substrates separately. The lamella-forming PS-b-PMMA (L0=32  nm) was spin-coated to form 40-nm thick films on brush-grafted substrates. The samples were thermally annealed at 180°C for 15 min. Optical characterization was performed with an Olympus BX60 microscope. The scanning electron microscopy was performed with a Carl Zeiss Merlin SEM.

2.4.

TEM Sample Preparation

The aforementioned DSA hole shrink samples were prepared on the front side of SiNx-coated wafer and were made into TEM membrane sample using the post-DSA membrane fabrication method reported in an earlier paper.11 SiNx on the back side of wafer was patterned by optical lithography as the first step (Fig. 1). After performing hole shrink DSA on the front side, wafers were back etched in KOH solution with front side sealed and protected to make several 3  mm×3  mm TEM samples with target pattern area at the center of 1  mm×200  μm large SiNx windows for TEM imaging. The 300-mm track-processed was made into TEM samples using the same approach, except the Si wafer thickness is 800  μm and the SiNx film thickness is 15 nm.

2.5.

STEM Tomography and Three-Dimensional Reconstruction

We use the similar sample staining and STEM tomography methods as described in an earlier paper.12,15 Al2O3 sequential infiltration synthesis (SIS) was performed on each TEM samples using alternating exposures to trimethylaluminum and deionized H2O to selectively stain PMMA and provide imaging contrast and stability. STEM tomography was performed using a field-emission gun TEM (FEI Tecnai) operated at 200 kV. The camera length is 300 mm and samples were imaged using high angle annular dark field detector. STEM Images were collected from hole shrink samples with holder tilting from 70  deg to +70  deg with 2 deg or 3 deg angular interval and dynamic focus. The range of tilting angles of 800  μm thick samples was narrowed down to about 54  deg to +54  deg. The tilt series were then aligned and reconstructed into xy slices stacking along z direction using Inspect3D software.

Segmentation (thresholding) of the reconstructed image stacks was performed in ImageJ. Binary signals corresponding to stained PMMA domains and SOC templates were separated into two stacks. The stack of PS domains was generated by filling the binary signals of the SOC templates (from hollow circles to solid circles). The rendered 3-D structures were visualized in tomviz software when displaying the contours of PMMA stack colored in blue and PS stack colored in red simultaneously.

2.6.

Simulation

The simulation results presented in this work are based on the standard theoretically informed coarse-grained model.1618 This model has previously been shown to be in quantitative with the experimental results.19,20 The cylindrical confinement is imposed by hard walls; the sidewall and bottom substrate interact with the polymer according to a term parametrized by a proportionality constant, the magnitude of which dictates the strength of substrate interactions. Full details are available in the previous publication.21

3.

Results and Discussion

3.1.

Three-Dimensional Metrology for DSA Hole Shrink Process

The direct observation of 3-D morphologies of PS-b-PMMA cylinders within templated holes is rarely reported mainly due to the challenges of sample preparation. Several studies used cross-sectional TEM to measure the amount of residual PS layer between PMMA cylinder and the bottom of templates.6,8 However, as a destructive method, shrinkage and mismatch of thickness is often found as the issue. Okabe et al. used FIB Pt deposition and milling to prepare cross-sectional TEM samples. Using elemental mapping and 3-D reconstruction, they successfully captured a noticeable amount of PS residual layer under the Pt filling.6 Although FIB is versatile to make site-specific TEM samples, it requires the removal of material and protecting polymers from FIB damage could be difficult. A reduction in SOG and SOC thickness as large as 40 nm was observed implying the potential compression of BCP structure as well.6 Therefore, it is important to develop a 3-D metrology with minimal damage to the polymer assemblies as well as SOC templates during the sample preparation. Dixit et al.22 reported that they used an ellipsometry-based scatterometry to optically characterize DSA hole shrink samples, which can nondestructively predict dimensional changes. However, this method is limited to characterize local and detailed DSA-induced defects. Without comparing to 3-D real space information, it is difficult to evaluate the accuracy of optical models they applied.

Recently, STEM tomography has been developed to probe different BCP 3-D structures and it is especially suitable for capturing heterogeneous and complicated morphologies.12,15,23 In this study, we use an established TEM sample preparation approach based on the back-etching of SiNx-coated 100 Si wafers.11 The template made of SOC as well as block polymer films on the front side of wafer is perfectly preserved. Using SIS staining, the shape of polymer interface could be clearly revealed, which avoids any potential damage caused by selective removal of polymer domains and metal deposition. Although the field of view in STEM tomography is limited (1  μm×1  μm in this study) to get high-resolution images, the 3-D volume of about 30 holes could be captured in each tomography series. Therefore, it offers a much larger field of view than regular cross-sectional images and gives more representative and statistical data. With all these advantages, the 3-D metrology used in this study could be a high throughput method to acquire the detailed information of DSA hole shrink process in all dimensions.

3.2.

Effects of Surface Chemistry

Previous simulation studies have predicted that both the surface chemistry and geometry of cylindrical templates play important role in guiding the DSA of BCP cylinders.8,9 In terms of the surface chemistry, defect-free DSA is predicted when sidewall is wetting to PS and bottom is nonpreferential to PS and PMMA.8,9 The challenge to reach this ideal situation is that, during SOC etch step both sidewall and bottom surface are exposed to oxygen-containing plasma and that both could be easily modified by OH-terminated polymer brush. There are differences in materials though: the sidewall surface is plasma-exposed SOC and the bottom surface is plasma-exposed SiNx (or other substrates). Doise et al.14 developed a dual-brush process and could independently modify the sidewall and bottom surface by consecutively grafting two brushes with distinct end-groups. In our study of surface chemistry effects, only one brush was used in each DSA sample, but the surface chemistry was controlled by kinetically grafting of the polymer brush. Two polystyrene-based brushes A and B with different end groups were found that when grafted at high temperature they could modify the sidewall and bottom surface differently.

We follow Doise et al.’s approach14 to prepare SOC and SiNx substrates mimicking sidewall and bottom surface and determine the surface affinity. The schematic of the hole and island test is shown in Fig. 2(a). Films of a lamella-forming PS-b-PMMA are prepared on SOC and SiNx modified by brush A or B. The film thickness is carefully controlled to about 40 nm (1.25 L0). Based on previously reported studies,14,24 perpendicular oriented BCP microdomains are formed and the film is flat macroscopically when the substrate is nonpreferential to PS and PMMA. In contrast, preferential wetting of PS or PMMA at substrates leads to parallel oriented lamella. With PS domain on the top surface when thermally annealed at 180°C, islands such as terraced topography is observed optically for PS-preferential substrates and holes such as terraced topography is formed for PMMA preferential substrates. The characterization results of SOC and SiNx-modified by brushes A and B are shown in Fig. 2(b). Both substrates show holes such as terraces in optical micrographs as they wet PMMA after O2 etch. Islands are observed on the SOC sidewall surfaces modified by both brushes A and B, and the SiNx bottom surface modified by brush A only, indicating the PS-wetting behavior on these three surfaces. The SiNx bottom surface modified by brush B shows flat surface optically and perpendicular lamella morphology in SEM, corresponding to the nonpreferential wetting.

Fig. 2

(a) Schematic of 1.25-L0 thick lamella-forming PS-b-PMMA films thermally annealed at 180°C on substrates with different affinity to PS or PMMA. (b) Optical micrographs of 40-nm thick lamellar PS-b-PMMA (L0=32  nm) thin films on the mimicked SiNx bottom surface and SOC sidewall surface before and after applying brush A or B. The inset is an SEM image of the perpendicular lamella morphology of the optically flat film.

JM3_17_3_031203_f002.png

As summarized in Table 1, the brush A makes both sidewall and bottom surface PS-preferential, while brush B makes sidewall surface preferential to PS block and bottom surface nonpreferential to PS and PMMA blocks. Two DSA samples were made on SiNx membrane for TEM characterization with identical DSA procedure except using brush A or B to modify the surface of templates. As shown in Fig. 3, there is no significant difference in this two samples in top-down SEM images. Both show successful DSA singlets. The bright domain inside of each hole corresponds to the Al2O3-stained PMMA cylinder domain while the outer bright domain corresponds to the Al2O3-stained outline of the SOC template. The staining effect of the sidewall surface might result from polar components generated on the plasma-exposed area during SOC etch. The 2-D STEM images revealed more distinct cylinder structures between these two samples. PMMA cylinders in brush A modified sample appear to be more centered and isolated, while in brush B modified samples they show connections to template sidewalls in many holes.

Table 1

Summary of wetting behaviors of bottom and sidewall surfaces.

Without brushBrush ABrush B
SiNx bottomPMMA-preferentialPS-preferentialNonpreferential
SOC sidewallPMMA-preferentialPS-preferentialPS-preferential

Fig. 3

Top-down SEM and 2-D STEM images of two DSA samples using different brushes. In each prepatterned hole, the brighter domains are Al2O3-stained PMMA or the sidewall surface and the darker area is PS.

JM3_17_3_031203_f003.png

Full STEM tomography is performed to generate entire 3-D structures of these two samples. Figure 4 shows the reconstruction results. For each sample, three xy slices (parallel to the substrate) displaying 4×4 DSA holes are taken from different heights z of the reconstruction volumes where z=0 is defined as the approximate height of bottom of prepatterned holes (or top surface of SiNx). The 3-D visualization of one DSA hole from each sample shown in Fig. 4 has the Al2O3-stained PMMA block colored in blue and the PS block colored in red.

Fig. 4

STEM tomography reconstruction results of two DSA samples using different brushes for surface modification. xy slices were taken at three different sample heights z. The brighter domains are Al2O3-stained PMMA or the sidewall surface and the darker domains are PS and SOC template. In the 3-D visualization, Al2O3-stained PMMA is in blue color and PS is in red color.

JM3_17_3_031203_f004.png

For the DSA sample using brush A, all PMMA cylinders are at about the center of the template without connecting to sidewall from top to bottom. In the slice at z=10  nm which is close to bottom substrate, only about 7 out of 16 holes show signals from well-defined PMMA cylinders. It indicates the existence of PS residual layers in the bottom of about 50% of DSA holes. As for the other DSA sample using brush B, the morphologies are distinct through BCP thickness. In the slice taken at z=40  nm where it is slightly beneath the BCP top surface, most of the PMMA cylinders are still relatively close to the center of template while few of them start showing irregular shape and connecting to sidewalls. At z=25  nm where it is approximately at the height of half of the filled BCP thickness, the bridging to sidewall could be found in most of DSA holes. When z=10  nm, the cylinder domains are very distorted, but all the holes still show signals from PMMA. This height evolution shows that the brush B sample has more distorted PMMA cylinders and it exhibits many bridge-like defects connecting to SOC sidewall, but all PMMA cylinders reach to bottom substrates and there are much less PS residual defects. The 3-D rendering shows the representative DSA results of each brush: the brush A gives vertical cylinder domains; the brush B gives bridging defects connecting to sidewall.

The fact that the DSA sample using brush A has more PS residue defects could be attributed to its PS-preferential bottom surface. For brush B, its nonpreferential bottom surface leads to through-film PMMA domains in majority. However, the existence of bridging to sidewalls indicates the wetting behavior of brush B modified sidewall is different. In the ideal DSA singlet, the area fraction of PS (fPS) at the sidewall interface should be 100% when the sidewall surface has much stronger affinity to PS. The bridging defects happen when fPS needs to be decreased to reach minimum interfacial free energy, which implies that the preferentiality of brush B modified sidewall surface to PS decreased.

To better understand which surface wetting behavior leads to the bridging defects, a coarse-grained model is used to simulate the DSA results in cylindrical confinement with nonpreferential bottom and altering attraction of sidewall to PS as shown in Fig. 5. We use the same simulation method described in a previous study.21 When the sidewall surface is changing from strongly PS-preferential to nonpreferential, in simulation the morphology of PMMA changes from a vertical cylinder domain in the center to an expanded and distorted shape with increasing contact area to sidewall. In the middle point where the sidewall surface is slightly PS-preferential, the simulated morphology has vertical cylinder with bridging defect reaching to sidewall, which is similar with our experimental observations of the brush B sample.

Fig. 5

Simulation results using coarse-grained model where the volume fraction of PMMA is 0.3 and the diameter of confinement is 50 nm (1.32 L0). Only the PMMA block is presented in blue color.

JM3_17_3_031203_f005.png

Comparing the 3-D structures of DSA samples observed from tomography to the simulated morphologies, a more precise description of the surface properties of those two samples would be: brush A makes both sidewall and bottom surface strongly PS-preferential; brush B makes the bottom nonpreferential and the sidewall slightly PS-preferential. It is likely that, when trying to prepare nonpreferential bottom surface using a single-brush process, the attraction of sidewall to PS is often sacrificed. When simulation studies encourage to use nonpreferential bottom surface to eliminate PS residual layer, it is worth noting that the surface chemistry of sidewall is crucial as well.7,8,10 It is necessary to keep sidewall surface in the strongly PS preferential region to avoid any distortion of vertical PMMA cylinders.

3.3.

Effects of Geometry

The geometry of graphoepitaxial template also has great impact on the DSA results. The diameter of template must match the periodicity of BCP to form singlets. When sidewall wets the majority block the critical dimension (CD) required is around 1×L0.25 Considering the thickness of brush grafted to template surface, the CD is often slightly larger than 1×L0 and with certain tolerance judged from the hole open yield.4,26 The matching between BCP periodicity and CD of template is considered very important as holes too small or too large could result in more PS residual layer in the bottom and missing holes after pattern transfer.4 Here, we probe several DSA pattern area with different template size using STEM tomography to better understand how the template size affects the quality of DSA in 3-D.

Figure 6 shows the tomography results of three DSA areas with template CD of 44.7, 57.9, and 69.1 nm (as measured from 2-D STEM image). This TEM sample is prepared from a 800-μm-thick track-processed wafer. The wafer thickness limits the maximum tilting angle in tomography series to 54 deg. But the reconstruction results are still of good quality and high resolution. These DSA patterns were made through dual-brush process to independently make sidewall surface PS-preferential and bottom surface nonpreferential.14 The reported CD process window for wafer prepared with same DSA conditions is about 47 to 58 nm.14 The three pattern area selected has CD smaller than, within, and larger than the reported CD window respectively. Again, xy slices were taken at three different sample heights: close to top of the film at z40  nm, middle of the film at z25  nm, and relatively bottom of the film at z10  nm. For all three DSA patterns, PS-residual layer is not observed. Signals from PMMA cylinders are very clear even in the bottom of the film. In the template with CD of 44.7 nm, 2 out of 16 DSA holes are defective without well-defined vertical cylinders. When CD is 57.9 nm, all three xy slices look very similar indicates the DSA cylinders are in circular shape and very uniform throughout the thickness. For the largest CD (69.1 nm), most of the DSA cylinders are circular but we notice some cylinders start to show more irregular shape. In the hole at the top right corner, the shape of PMMA cylinder becomes more oval when approaching the bottom.

Fig. 6

STEM tomography results of singlets with different template CD and the representative 3-D rendering.

JM3_17_3_031203_f006.png

These observations verify that, even when the CD of template is outside of the optimized process window, the dual-brush process successfully prevents the formation of PS-residual layer in the bottom. Comparing with the single brush process used in the surface chemistry study, the dual-brush process is more effective to control the sidewall and bottom surface separately and leads to better DSA quality. In terms of the geometry factor, when the CD is smaller than the process window, there is not obvious PS residual layer in the bottom. However, the DSA morphology goes through a more dramatic change. In some holes, the vertical cylinder cannot even form, which could be the real cause to the missing singlets13 after pattern transfer. When CD is slightly larger than the process window, some cylinders are no longer circular. If this distortion happens in most of the film thickness, it could be etched into underlying substrate, which is not ideal for pattern transfer either.

4.

Summary

In our study, the DSA hole shrink samples are prepared on SiNx membrane without damaging polymers and templates. STEM tomography is used to characterize DSA morphologies in 3-D with high resolution. Different DSA structures are revealed when the surface of e-beam patterned template is modified differently. Compared to the coarse-grained simulation results, bridging defects connecting the PMMA cylinder with sidewall show up when the sidewall surface is weakly PS-preferential. It is thus important to make sure the attraction of sidewall to PS is still strong enough when trying to make bottom surface nonpreferential, which might be more difficult for single-brush process compared to the dual-brush process. A singlet DSA hole shrink TEM sample with different template CD sizes was prepared from a 300-mm track-processed wafer and characterized using tomography in a similar approach. Based on collected 3-D images, we find that the dual-brush process could effectively avoid PS-residual defects in the bottom over a wide CD range. Several types of defective DSA morphologies are identified as potential attributors to observed pattern transfer defects when CD is outside of the process window.

Acknowledgments

This work was supported in part by the Semiconductor Research Corporation (SRC) and Tokyo Ohka Kogyo Co., Ltd., Japan. C. Z. is a graduate student supported by SRC. Use of the Center for Nanoscale Materials, an Office of Science user facility, was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. We thank Dr. Leonidas E. Ocola and Dr. Nestor J. Zaluzec for helpful discussion. This work made use of the Pritzker Nanofabrication Facility of the Institute for Molecular Engineering at the University of Chicago, which receives support from Soft and Hybrid Nanotechnology Experimental (SHyNE) Resource (NSF ECCS-1542205), a node of the National Science Foundation’s National Nanotechnology Coordinated Infrastructure. This work has been published in a SPIE proceeding paper.27

References

1. 

R. Ruiz et al., “Density multiplication and improved lithography by directed block copolymer assembly,” Science, 321 (5891), 936 –939 (2008). https://doi.org/10.1126/science.1157626 SCIEAS 0036-8075 Google Scholar

2. 

S. J. Jeong et al., “Directed self-assembly of block copolymers for next generation nanolithography,” Mater. Today, 16 (12), 468 –476 (2013). https://doi.org/10.1016/j.mattod.2013.11.002 MATOBY 1369-7021 Google Scholar

3. 

H. Yi et al., “Flexible control of block copolymer directed self-assembly using small, topographical templates: potential lithography solution for integrated circuit contact hole patterning,” Adv. Mater., 24 (23), 3107 –3114 (2012). https://doi.org/10.1002/adma.201200265 ADVMEW 0935-9648 Google Scholar

4. 

Y. Seino et al., “Contact hole shrink process using graphoepitaxial directed self-assembly lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 12 (3), 033011 (2013). https://doi.org/10.1117/1.JMM.12.3.033011 Google Scholar

5. 

A. Gharbi et al., “Contact holes patterning by directed self-assembly of block copolymers: process window study,” J. Micro/Nanolithogr. MEMS MOEMS, 14 (2), 023508 (2015). https://doi.org/10.1117/1.JMM.14.2.023508 Google Scholar

6. 

K. Okabe et al., “Cross-sectional imaging of directed self assembled block copolymers,” Proc. SPIE, 9423 942318 (2015). https://doi.org/10.1117/12.2087569 Google Scholar

7. 

T. Iwama et al., “The hole shrink problem: directed self-assembly using self-consistent field theory,” J. Photopolym. Sci. Technol., 26 (1), 15 –20 (2013). https://doi.org/10.2494/photopolymer.26.15 JSTEEW 0914-9244 Google Scholar

8. 

K. Yoshimoto et al., “Optimization of directed self-assembly hole shrink process with simplified model,” J. Micro/Nanolithogr. MEMS MOEMS, 13 (3), 031305 (2014). https://doi.org/10.1117/1.JMM.13.3.031305 Google Scholar

9. 

B. L. Peters et al., “Graphoepitaxial assembly of cylinder forming block copolymers in cylindrical holes,” J. Polym. Sci., Part B: Polym. Phys., 53 (6), 430 –441 (2015). https://doi.org/10.1002/polb.v53.6 JPBPEM 0887-6266 Google Scholar

10. 

N. Laachi et al., “Self-consistent field theory investigation of directed self-assembly in cylindrical confinement,” J. Polym. Sci., Part B: Polym. Phys., 53 (2), 142 –153 (2015). https://doi.org/10.1002/polb.v53.2 JPBPEM 0887-6266 Google Scholar

11. 

J. Ren et al., “Post-directed-self-assembly membrane fabrication for in situ analysis of block copolymer structures,” Nanotechnology, 27 (43), 435303 (2016). https://doi.org/10.1088/0957-4484/27/43/435303 NNOTER 0957-4484 Google Scholar

12. 

T. Segal-Peretz et al., “Three dimensional assembly in directed self-assembly of block copolymers,” J. Photopolym. Sci. Technol., 29 (5), 653 –657 (2016). https://doi.org/10.2494/photopolymer.29.653 JSTEEW 0914-9244 Google Scholar

13. 

J. Doise et al., “Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (2), 023506 (2017). https://doi.org/10.1117/1.JMM.16.2.023506 Google Scholar

14. 

J. Doise et al., “Dual brush process for selective surface modification in graphoepitaxy directed self-assembly,” Proc. SPIE, 10146 101460R (2017). https://doi.org/10.1117/12.2259791 Google Scholar

15. 

T. Segal-Peretz et al., “Characterizing the three-dimensional structure of block copolymers via sequential infiltration synthesis and scanning transmission electron tomography,” ACS Nano, 9 (5), 5333 –5347 (2015). https://doi.org/10.1021/acsnano.5b01013 ANCAC3 1936-0851 Google Scholar

16. 

M. W. Matsen and M. Schick, “Stable and unstable phases of a linear multiblock copolymer melt,” Macromolecules, 27 (24), 7157 –7163 (1994). https://doi.org/10.1021/ma00102a025 MAMOBX 0024-9297 Google Scholar

17. 

G. Fredrickson, The Equilibrium Theory of Inhomogeneous Polymers, Clarendon Press, Oxford (2006). Google Scholar

18. 

F. Detcheverry et al., “Monte Carlo simulation of coarse grain polymeric systems,” Phys. Rev. Lett., 102 197801 (2009). https://doi.org/10.1103/PhysRevLett.102.197801 PRLTAO 0031-9007 Google Scholar

19. 

F. A. Detcheverry et al., “Monte Carlo simulations of a coarse grain model for block copolymers and nanocomposites,” Macromolecules, 41 (13), 4989 –5001 (2008). https://doi.org/10.1021/ma702514v MAMOBX 0024-9297 Google Scholar

20. 

F. A. Detcheverry et al., “Interpolation in the directed assembly of block copolymers on nanopatterned substrates: simulation and experiments,” Macromolecules, 43 (7), 3446 –3454 (2010). https://doi.org/10.1021/ma902332h MAMOBX 0024-9297 Google Scholar

21. 

C. T. Bezik, G. P. Garner and J. J. de Pablo, “Mechanisms of directed self-assembly in cylindrical hole confinements,” Macromolecules, 51 (7), 2418 –2427 (2018). https://doi.org/10.1021/acs.macromol.7b02639 MAMOBX 0024-9297 Google Scholar

22. 

D. Dixit et al., “Optical critical dimension metrology for directed self-assembly assisted contact hole shrink,” J. Micro/Nanolithogr. MEMS MOEMS, 15 (1), 014004 (2016). https://doi.org/10.1117/1.JMM.15.1.014004 Google Scholar

23. 

T. Segal-Peretz et al., “Quantitative three-dimensional characterization of block copolymer directed self-assembly on combined chemical and topographical prepatterned templates,” ACS Nano, 11 (2), 1307 –1319 (2017). https://doi.org/10.1021/acsnano.6b05657 ANCAC3 1936-0851 Google Scholar

24. 

H. S. Suh et al., “Thickness dependence of neutral parameter windows for perpendicularly oriented block copolymer thin films,” Macromolecules, 43 (10), 4744 –4751 (2010). https://doi.org/10.1021/ma100150j MAMOBX 0024-9297 Google Scholar

25. 

J. Doise et al., “Implementation of surface energy modification in graphoepitaxy directed self-assembly for hole multiplication,” J. Vac. Sci. Technol. B, 33 (6), 06F301 (2015). https://doi.org/10.1116/1.4929884 JVTBD9 1071-1023 Google Scholar

26. 

P. A. R. Delgadillo, “Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment,” J. Micro/Nanolithogr. MEMS MOEMS, 11 (3), 031302 (2012). https://doi.org/10.1117/1.JMM.11.3.031302 Google Scholar

27. 

C. Zhou et al., “Studying the effects of chemistry and geometry on DSA hole-shrink process in three dimensions,” Proc. SPIE, 10584 105840K (2018). https://doi.org/10.1117/12.2297461 PSISDG 0277-786X Google Scholar

Biography

Paul F. Nealey is a Brady W. Dougan Professor in the Institute for Molecular Engineering at the University of Chicago. He is a pioneer of directed self-assembly lithography, which is becoming very important in microelectronics processing to create patterns for integrated circuits. He is one of the world’s leading experts on patterning organic materials, literally creating physical patterns of structure and composition in the materials at the nanometer length scale, where the patterns affect the function of the materials.

Biographies for the other authors are not available.

© 2018 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2018/$25.00 © 2018 SPIE
Chun Zhou, Tsuyoshi Kurosawa, Takahiro Dazai, Jan Doise, Jiaxing Ren, Cody Bezik, Tamar Segal-Peretz, Roel Gronheid, Paulina Rincon-Delgadillo, Akiyoshi Yamazaki, Juan de Pablo, and Paul F. Nealey "Studying the effects of chemistry and geometry on DSA hole-shrink process in three-dimensions," Journal of Micro/Nanolithography, MEMS, and MOEMS 17(3), 031203 (22 August 2018). https://doi.org/10.1117/1.JMM.17.3.031203
Received: 29 April 2018; Accepted: 17 July 2018; Published: 22 August 2018
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Directed self assembly

Polymethylmethacrylate

Picosecond phenomena

Tomography

System on a chip

Chemistry

Scanning transmission electron microscopy


CHORUS Article. This article was made freely available starting 22 August 2019

RELATED CONTENT

Metrology of DSA process using TEM tomography
Proceedings of SPIE (March 19 2015)
DSA planarization approach to solve pattern density issue
Proceedings of SPIE (March 17 2015)

Back to Top