Open Access
11 May 2022 Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?
Author Affiliations +
Abstract

Background: The successful introduction of extreme ultraviolet (EUV) lithography to high volume manufacturing has increased the interest to push this technology to its ultimate limits. This will require photoresist materials, which enable a better tradeoff between resolution, linewidth roughness and sensitivity, and the adaptation of optical resolution enhancements that were originally developed for deep ultraviolet (DUV) lithography.

Aim: We review published research on attenuated phase shift masks (attPSM) for EUV with special emphasis on modeling and fundamental understanding of the imaging characteristics of alternative absorber materials. The overview on previous work is intended to summarize typical observations and learning on obtained results and to serve as a reference for further research on this important topic.

Review approach: The review starts with a summary of related work on attPSM for DUV lithography. It is shown that the understanding and mitigation of mask topography (or mask 3D) effects is key for the analysis and optimization of attPSM for EUV lithography. Observations from several research groups and application of dedicated modeling approaches help to understand the physical mechanisms behind observed lateral image shifts and pitch-dependent shifts of the best focus position.

Results: The imaging physics of attPSM for EUV lithography differs significantly from attPSM imaging in DUV lithography. The “double diffraction” of EUV light from the absorber, the reflection characteristics of the multilayer blank, and the guidance of light through the openings in a low-refractive-index (low-n) absorber introduce important effects that need to be considered in the design and use of attPSM in EUV lithography. It is important to use the optical properties (n and k) and the thickness of the absorber as predictive design parameters of attPSM for EUV lithography (instead of phase and reflectivity). The refractive index of the absorber material is important for binary masks as well. The discussion of low-n absorbers includes both “traditional” attPSM for EUV and low reflectivity absorbers, which exploit the guidance of light inside patterned layers.

Conclusions: In-depth modeling investigations of attPSM and first experiments suggest that absorbers with a refractive index around 0.9 (low-n materials) can help to push high NA EUV lithography into the low k1 regime. Comprehensive optimization of source and mask is required to exploit the advantages of low-n absorbers. Further enhancements can help to push EUV imaging to its ultimate limit.

© 2022 Society of Photo-Optical Instrumentation Engineers (SPIE)
Andreas Erdmann, Hazem Mesilhy, and Peter Evanschitzky "Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?," Journal of Micro/Nanopatterning, Materials, and Metrology 21(2), 020901 (11 May 2022). https://doi.org/10.1117/1.JMM.21.2.020901
Received: 28 February 2022; Accepted: 12 April 2022; Published: 11 May 2022
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Diffraction

Phase shifts

Refractive index

Extreme ultraviolet lithography

Resolution enhancement technologies

RELATED CONTENT

Mitigation of image contrast loss due to mask side non...
Proceedings of SPIE (March 13 2015)
Phase-shifting effect of thin-absorber EUV masks
Proceedings of SPIE (October 13 2011)
EUV phase-shifting masks and aberration monitors
Proceedings of SPIE (July 01 2002)

Back to Top