Defect printability and inspection studies were conducted on a programmed EUV defect mask. The mask was fabricated using Ta-based absorber stack on a Mo/Si multilayer coated 6025 plate. The defect pattern contains a variety of types of defects. The defect printing was performed on the Engineering Test Stand (ETS), which is the 0.1 NA EUV scanner at Sandia National Laboratories in Livermore, CA. The result showed that the printability of defects depended on the defect type and that either notches in or protrusions from absorber lines were the first to print. The minimum printable defect size was approximately 15 nm (1X). Defect inspection was performed on a 257-nm wavelength mask inspection system in die-to-die mode. Seventy-eight out of 120 programmed defects were detected when using 50% detection sensitivity. Maximum detection sensitivity was also tried. However, the number of defects is overwhelmed by the nuisance defects. The minimum defect detected was 52 nm in width. Simulations with a 2-D scalar model are used to verify the results.
We have exposed 10 wafers on the Engineering Test Stand (ETS), the 0.1 NA EUV scanner at Sandia National Laboratories in Livermore, CA. The EUV reflective mask was fabricated in-house using a Ta-based absorber stack on Mo/Si multilayers. The printed wafers contained different line sizes and pitches, line-end shortening measurement structures, contact holes, and patterns for estimating absorber defect printability. The depths of focus of each feature are typically 2 um due to the small NA of the scanner, and these should decrease by at least a factor of 6.25 as the NA's increase to 0.25. The data from measurements of line size through pitch and line-end shortening test structures indicate that both 1D and 2D optical proximity correction will be required. Defects that are either notches in or protrusions from absorber lines are the first to print, and they begin to print when they reach approximately 15~nm (1X) in size. This size threshold is in accordance with the 2003 ITRS specifications. We also report the first printing of SRAM bitcells with EUV lithography.
We report in this work both experimental and theoretical results showing the effects of reticle absorber reflectivity on standard flare measurements, image formation, and how this may contribute to various image metrics used in lithography. Our study shows that under typical conditions the reflectance from the absorber film has only a small effect on the image produced by the exposure system and therefore should not limit lithography.
The next suite of optical lithography tools beyond 193nm will use 157nm irradiation to illuminate the mask pattern onto a semiconductor wafer. As the illumination wavelength decreases, the number of materials that can be used to create attenuated phase shift masks decreases dramatically. Especially the number of materials that maintain constant transmission after prolonged irradiation. The Ta-based and Cr-based materials have been recognized as two such sets of materials that remain optically unchanged due to prolonged VUV irradiation. Optical characterization of these materials by spectroscopic ellipsometry has been used to simulate several material systems to achieve proper transmission and phase shift while simultaneously improving the inspection contrast of the patterned mask. Both simulation and experimental results will be presented for Ta-based and/or Cr-based material systems that maintain relatively constant transmission for more than 50 million pulses under 157nm irradiation.
We have studied the EUV mask absorber stack materials stability under extended EUV radiation exposure using the Synchrotron facility located at Aladdin Synchrotron Radiation Center of UW-Madison. The DUV reflectivity was measured at the area where the absorber stack was exposed to EUV radiation for different period of time to understand the impact on mask inspection during use and potential radiation damage. The longest exposure time simulated 2 million EUV exposure shots based on the resist sensitivity of 5 mJ/cm2. After EUV radiation, a significant increase in DUV reflectivity was observed. However, this change may be due to the hydrocarbon contamination from the EUV exposure chamber because an obvious darkening was observed on the exposed area and could be easily removed by a short O2 plasma etching. The experimental data showed that reflectivity was restored after O2 plasma etching and the difference was less than 2%. X-ray photoelectron spectroscopy (XPS) and forward recoil spectrometry also used for the confirmation of hydrocarbon build up during exposure.
In this work, we analyzed resist CD uniformity on 6025 substrates in terms of resist PEB sensitivity, PEB time, temperature variation during ramp up, hotplate vacuum and the application of a chill plate. We found that the resist PEB sensitivity, PEB time and the final temperature were the most important factors. By selecting low PEB sensitive resist and optimizing the bake conditions, the CD uniformity was greatly improved. The temperature profile of the hotplate configuration used for this study will be discussed along with the CD uniformity obtained using this hotplate under various conditions.
We report in this work experimental and theoretical results showing the effects of absorber reflectivity on standard flare measurements, image formation and how this may contribute to various image metrics used in lithography. Our study shows that under typical conditions the reflectance from the absorber film has only a small effect on the image produced by the exposure system.
In this paper, we report on evaluation of a high e-beam sensitive CA resist, FEP171 for EUVL mask fabrication. This resist exhibits a PEB temperature sensitivity of ~1nm/°C and 8.3 nm (3 σ) CD uniformity across an EUVL mask patterned with 200 nm dense features using a 100 keV e-beam exposure system. This resist also showed a very high resolution and excellent exposure latitude. Dense line/space features down to 60 nm have been delineated in this resist. This paper will discuss the lithographic performance of this resist and compare it with that of UV-III CA resist and ZEP 520 non-CA resist.
Phase defects in extreme UV lithography masks made from Mo/Si multilayer thin films can be removed by heating the metal multilayer to produce a localized phase transition. The experimental situation has been simulated using a Monte Carlo method to determine the deposition of energy from the incident electrons, and the resultant elevation of temperature has been found by solving the thermal diffusion equation. The effects of operating parameters such as beam energy, beam current, and beam spot size have been investigated. It is shown that the effect of surface radiation cooling is negligible, and that only a steady state solution needs to be considered.
As semiconductor device requirements approach the 70 nm lithography node the development and implementation of a next-generation lithography (NGL) technology and the associated masks becomes of paramount importance. We have been developing Extreme Ultraviolet Lithography (EUVL) mask materials and processes. Fabrication of these masks includes the deposition and patterning of an EUV absorber stack. An understanding of the effect of pattern transfer on image placement is required due to the stringent image placement requirements for NGL masks. This article reports the measurement results of image placement caused by the pattern transfer of resist through final image for a candidate EUVL absorber stack using both bright field and dark field patterned 6025 (6” × 6” × 0.25”) masks.
To determine stress related image distortion for EUV masks, an EUV stack consisting of SiON, TaSiN, and Cr was deposited onto Mo/Si coated mask blanks (6025 format) provided by Lawrence Livermore National Laboratory (LLNL). Both dark field and bright field masks were built and the pattern image placement was measured after e-beam lithography and after every etch process. Analysis of the data sets provided the resultant pattern transfer induced image displacement.
This paper presents the results of patterned and unpatterned EUV mask inspections. We will show inspection results related to EUV patterned mask design factors that affect inspection tool sensitivity, in particular, EUV absorber material reflectivity, and EUV buffer layer thickness. We have used a DUV (257nm) inspection system to inspect patterned reticles, and have achieved defect size sensitivities on patterned reticles of approximately 80 nm. We have inspected EUV substrates and blanks with a UV (364nm) tool with a 90nm to a 120 nm PSL sensitivity, respectively, and found that defect density varies markedly, by factors of 10 and more, from sample to sample. We are using this information in an ongoing effort to reduce defect densities in substrates and blanks to the low levels that will be needed for EUV lithography. While DUV tools will likely meet the patterned inspection requirements of the 70 nm node in terms of reticle defect sensitivity, wavelengths shorter than 200 nm will be required to meet the 50 nm node requirements. This research was sponsored in part by NIST-ATP under KLA-Tencor Cooperative Agreement #70NANB8H44024.
The Semiconductor Industry Association (SIA) has placed stringent requirements on Next Generation Lithography mask critical dimension (CD) control. A new chemically amplified (CA) positive resist, Shipley XP2040D was evaluated for mask making application. This resist exhibited an extraordinary post exposure bake (PEB) sensitivity, less than 0.6 nm/ degree(s)C, along with a sub-50 nm resolution. The PEB stability in ambient was larger than 4.5 hours and the line-edge-roughness (LER) was less than 2.9 nm.
Advances in today's semiconductor industry have been achieved mainly by decreasing the minimal feature size thereby increasing the complexity of the devices. Lithography tool shave to provide for high resolution and large depth of focus. X-ray lithography offers promising solutions and is currently an actively researched area.
This paper reports an on-wafer photoacid determination technique that can be used to quickly screen materials that function as photoacid generators (PAGs). The technique includes adding a small amount of a pH-sensitive fluorophore into the resist and exposing the resist to x-rays. The acid generated during exposure reacts with the fluorophore and quenches the fluorescence. The efficiency of photoacid generation is evaluated by comparing the degree of fluorescence quenching. This technique is nondestructive, fast, and does not significantly change the resist chemical properties given the low concentration of the added fluorophore. Six compounds that can generate hydrogen halides as potential PAGs were evaluated using this on-wafer technique and the lithographic performance was evaluated for comparison. The commercial resist, Shipley SAL 605, is used as a reference for comparison. The result showed that TBBPA gave higher photoacid generation efficiency that TCBPA and PBP, but lower than that in SAL 605. The results of fluorescence measurements agree with the results obtained using normalized remaining thickness measurements. The advantages, however, of this fluorescence technique are that it is simple, fast, and requires fewer processing steps.
The surface preparation of wafers used with chemically- amplified resist is critical for successful resist processing. GaAs wafers provide an additional complexity because the composition of the surface can be greatly affected by the chemical treatment and subsequent resist processing conditions. In order to get consistent rust with GaAs wafers, we have found that the surface composition of the wafer has to be determined. Secondary ion mass spectrometry (SIMS) and electron spectroscopy for chemical analysis were used to determine the surface composition before and after the treatment with HCl. A non-destructive and simple method of contact angle measurement was used to provide advance warning of difficulties with adhesion between GaAs and Shipley SAL605 negative-tone chemically- amplified resist. An observed correlation between the contact angel of water suggested that, when it was in the range of 60 degrees to 75 degrees, the wafers generally showed good adhesion when using aqueous developer, Shipley MF312. From the work of direct measurement of the wafer surface before and after treatment, we have found conditions that permit patterning linewidths on the order of 0.15 micron in 0.5 micron thick resist. The effects of each of the surface treatments found to influence the adhesion will be described in terms of the chemical changes on the wafer surface and their effect on the resist chemistry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.