Among several critical layers of DRAM (dynamic random-access memory), capacitor holes of honeycomb arrays and bit-line-periphery (BLP) with Storage Node Landing Pad (SNLP) are the most critical layers in terms of patterning difficulty level. The honeycomb array hole layer has the highest density among various hole array types, and it is a complex lithography step since this layer is key in determining the performance of the DRAM. BLP with SNLP includes hole type and bi-directional line/space (L/S) design, and industry is considering a single exposure solution, compared to a three-mask solution using ArF immersion [1]. This BLP layer of 10nm DRAM has 2 different types of pattern topologies, hole array and bi-direction line/space: it is a very challenging single exposure level. In this paper, we discuss patterning challenges that come as consequences of industry trends in DRAM cell size reduction [2,3]. To keep up with this trend and to propose a single mask solution for bit-line-periphery, storage node landing pads and aggressive cell array pitches are considered along with resolution enhancement techniques (RET) for high-NA anamorphic EUV (NA=0.55) lithography. This study uses computational lithography such as source mask optimization (SMO) to find optimal off-axis illumination and optimal placement of sub-resolution assist features (SRAF) on the mask whilst considering the manufacturing rules checks (MRC constraints) for anamorphic EUV masks. In order to achieve that, a screening Design Technology Co-optimization (DTCO) experiment is done. The purpose is to identify cell array pitches in between 24nm and 32nm which satisfy both scaling requirements and patterning fidelity, preferred orientation of layout, and mask biasing scheme for various cell arrays. Lithography metrics like common depth of focus (cDoF), exposure latitude (EL), image contrast, and image log slope (ILS) are used to decide what is optimal way to expose on wafer. For the sake of completeness of the study, mask materials are compared. Indeed, in EUV domain there is interest to use alternative mask absorbers like Ruthenium alloys as an alternative to Tantalum-based absorbers [4,5,6].
The semiconductor industry has deployed EUV for the latest technology nodes, but the mask making limits have not scaled linearly with the wavelength. Characterization of the tradeoff between ideal placement of subresolution assist features (srafs) versus mask rule constraints (MRC) is needed. In this paper, a simulation study was performed with DUV, low NA EUV, and high NA EUV models on 1D patterns. Using systematic variation, the ideal width and pitch of the primary sraf was identified according to multiple metrics, Image Log Slope (ILS) and process variation (PV) band width. For DUV, optimum sraf placement maintains a large margin between the MRC limits and the sraf printing threshold. However for EUV, the ideal sraf likely violates the MRC minimum width. This is especially true for high NA EUV operating at a low aerial image threshold (AIT), where the ideal sraf width for ILS is only 2 nm. This paper quantifies the degradation in litho quality with the enforcement of increasing MRC limits. Alternative sraf insertion by chopping long srafs into minimum length srafs is applied to prevent sraf printing at MRC valid dimensions, while maintaining improved litho quality over no sraf.
As semiconductor nanolithography is pushed to smaller dimensions, process yields can suffer from three dimensional sub-wavelength imaging effects. Mask topography can influence the propagating diffracted field causing errors such as pitch dependent defocus and degraded useable depth of focus (UDOF). In this work, the compensation of diffracted phase error is realized through the manipulation of the wavefront in the projection lens pupil. Mask exposure data is presented showing how such manipulation can increase the UDOF for several mask structure types, leading to UDOF improvement between 18% and 83%. An analytical model is presented to understand trends seen in experimental data through pitch. Results also show that an asymmetric wavefront can be tuned to particular geometries, providing a UDOF improvement for line ends under restricted processing conditions.
An aerial image model is used to study the effects of spherical aberration applied in the lens pupil domain of a lithography projection scanner. These effects include the illumination dependency of focus exposure matrix tilt and the linear relationship between primary and higher order spherical coefficients on best focus (BF). Experimental data trends of BF through pitch and orientation have been replicated by an analytical expression. This computationally efficient formulation has the capability to provide corrective spherical aberration coefficients, which decrease the pitch-dependent BF and increase process latitude.
As semiconductor lithography is pushed to smaller dimensions, process yields tend to suffer due to subwavelength
topographical imaging effects. Three dimensional or "thick mask" effects result in such things as a pitch
dependent best focus and, for alternating phase shift masks (AltPSMs), an intensity imbalance between etched and
un-etched features. Corrective mask structures such as the dual trench AltPSM have been introduced to compensate
for such intensity imbalances. In this work, the compensation of thick mask effects is explored using the
manipulation of the pupil wavefront through the addition of spherical aberration. The wavefront has been
experimentally varied through the manipulation of the lens aberration in a state of the art full field scanner. Results
reveal that the influence of spherical aberration on best focus is predictable, allowing focus deviation through pitch
to be tuned. Simulations further predict that aberration manipulation can provide compensation for thick mask
effects by increasing the useable depth of focus for a particular set of features on both AltPSM and thicker film
attenuated PSM masks. Such pupil wavefront correction has the potential to compensate for mask topography by
matching thick mask effects to those of thin masks.
As semiconductor lithography is pushed to smaller dimensions, the process yields tend to suffer due to subwavelength
imaging effects. In response, resolution enhancement technologies have been employed together with
optimization techniques, specifically source mask optimization (SMO), which finely tunes the process by
simultaneously optimizing the source shape and mask features. However, SMO has a limitation in that it fails to
compensate for undesired phase effects. For mask features on the order of the wavelength, the topography of the
mask can induce aberrations which bring asymmetry to the focus-exposure matrix (FEM) and ultimately decrease
the process window. This paper examines the dependency of FEM asymmetry on factors such as the illumination
coherency and lens induced spherical aberration. It is shown that lens induced primary spherical aberration strongly
impacts the symmetry of the FEM. In this work, phase correction is achieved by incorporating the pupil plane in an
optimization. It is shown that primary spherical aberration can correct for effects including the degraded depth of
focus and the tilt in the FEM for a dual trench mask. A pupil function with an optimized coefficient of primary
spherical aberration balances the spherical aberration induced by the mask topography.
Inverse lithography technology (ILT) is a procedure that optimizes the mask layout to produce an image at the wafer with the targeted aerial image. For an illumination condition optimized for dense pitches, ILT inserts model-based subresolution assist features (AF) to improve the imaging of isolated features. ILT is ideal for random contact hole patterns, in which the AF are not at intuitive locations. The raw output of ILT consists of very complex smooth shapes that must be simplified for an acceptable mask write time. It is challenging for ILT to quickly converge to the ideal pattern as well as to simplify the pattern to one that can be manufactured quickly. ILT has many parameters that effect process latitude, background suppression, conversion run time, and mask write time. In this work, an optimization procedure is introduced to find the best tradeoff between image quality and run time or write time. A conversion run time reduction of 4.7× is realized with the outcome of this optimization procedure. Simulations of mask write time quantify the ability of ILT to be used for full chip applications. The optimization procedure is also applied to alternate mask technologies to reveal their advantages over commonly used 6% attenuated phase shift masks.
Sub-resolution assist features (SRAF) insertion using mask synthesis process based on pixel-based mask
optimization schemes has been studied in recent years for various lithographical schemes, including 6%
attenuated PSM (AttPSM) with off-axis illumination. This paper presents results of application of the pixelbased
optimization technology to 6% and 30% AttPSM mask synthesis. We examine imaging properties of
mask error enhancement factor (MEEF), critical dimension (CD) uniformity, and side-lobe printing for
random contact hole patterns. We also discuss practical techniques for manipulating raw complex shapes
generated by the pixel-based optimization engine that ensure mask manufacturability.
The AIMSTM-45, when used in scanner mode, can emulate image intensity as seen in resist on the wafer at scanner
illumination conditions. We show that this feature makes AIMSTM-45 well-suited to analyze patterns treated with
inverse lithography. We have used an inverse lithography technique by Mentor Graphics, to treat a random contact hole
layout (drawn at minimal pitch 115nm) for imaging at NA 1.35. The combination of the dense 115nm pitch and available
NA of 1.35 makes Quasar illumination necessary, and the inverse lithography treatment automatically generated optimal
(model-based) Assist Features (AF) for all geometries in the design. The mask, after inverse lithography treatment, has
CH patterns with numerous AF of different sizes and orientations, and is a challenge for both mask making and mask
qualification. We have analyzed the inverse lithography masks with the model-based AF using an AIMSTM-45 aerial
image measurement tool, and compare the results of the AIMSTM-45 to wafer data obtained after exposure on an ASML
XT:1900i. A first benefit of AIMTM-45 is that the most meaningful quantity (image in resist) is generated without the
intermediate steps of doing multiple reticle SEM measurements followed by extensive simulation. A second point of
interest is that the AIMSTM-45 generates image intensities, which allows a direct validation of the intensity-driven
inverse litho conversion. Both features prove the value of the AIMSTM-45 for analyzing inverse litho masks and
geometries.
KEYWORDS: Data modeling, Optical proximity correction, Performance modeling, Systems modeling, Optical lithography, Databases, Visualization, Diffusion, System on a chip, Calibration
The development of efficient resist models for optical and process proximity correction (OPC) is a problem
of particular importance in microlithography. A resist model is considered efficient if it is fast and accurate
and properly accounts for the transfer of latent image patterns into resist shapes. Here we study the
runtime-accuracy tradeoff of the Compact Model 1 (CM1) resist model. The model is represented as a
linear combination of the aerial image, orthogonal basis functions, and other terms designed to mimic
various effects such as acid and base diffusion, slope, maximum and minimum local intensity, etc. Clearly,
the greater the number of terms involved the more flexible and accurate the model becomes. On the other
hand, adding too many terms to the model substantially increases the OPC runtime and may lead to
overfitting. Our goal is to find model forms that are optimal with respect to both runtime and accuracy.
This is achieved using a consecutive descent method for multi-objective optimization that seeks so-called
Pareto optimal solutions. We found that model forms which include the diffused acid term and orthogonal
basis functions almost always represent a reasonable compromise between fitness and performance.
The imaging of Contact Hole (CH) layouts is one of the most challenging tasks in hyper-NA lithography. Contact Hole
layouts can be printed using different illumination conditions, but an illumination condition that provides good imaging
at dense pitches (such as Quasar or Quadrupole illumination), will usually suffer from poor image contrast and Depth of
Focus (DOF) towards the more isolated pitches. Assist Features (AF) can be used to improve the imaging of more
isolated contact holes, but for a random CH layout, an AF placement rule would have to be developed for every CH
configuration in the design. This makes optimal AF placement an almost impossible task for random layouts when using
rule-based AF placement. We have used an inverse lithography technique by Mentor Graphics, to treat a random contact
hole layout (drawn at minimal pitch 115nm) for imaging at NA 1.35. The combination of the dense 115nm pitch and
available NA of 1.35 makes the use of Quasar illumination necessary, and the treatment of the clip with inverse
lithography automatically generated optimal (model-based) AF for all geometries in the design. Because the inverse
lithography solution consists of smooth shapes rather than rectangles, mask manufacturability becomes a concern. The
algorithm allows simplification of the smooth shapes into rectangles and greatly improves mask write time. Wafer prints
of clips treated with inverse lithography at NA 1.35 confirm the benefit of the assist features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.