BackgroundThe resist model is a significant element of computational lithography. Accurate calibration of the resist model is essential to obtain predictive results that closely match the exposure results on wafers. The trade-off between model accuracy and runtime is a challenging task. The selection of critical patterns used in model calibration affects these two metrics directly.AimHaving a dependable method for choosing critical patterns during resist model calibration is essential for lithography engineers with diverse professional backgrounds. Equally important is the ability to decrease runtime while maintaining the precision of calibrated resist models. To address these concerns, we present an approach for selecting critical patterns utilized in resist model calibration.ApproachSince the spectrum carries pattern information, critical patterns are selected based on the coverage of the spectrum in the frequency domain. The spectrum coverage (SCO) of each pattern in the entire test pattern set is calculated according to the frequency and amplitude of all the spectra. Combinations of critical patterns are selected based on their SCO values. The optimal combinations include the most types of spectra and aim to adapt the calibrated model for broad applicability, encompassing both universal and unique patterns.ResultsThe model verification results are compared with the experience-based methods, which select critical patterns based on the pitch-to-CD ratio. The accuracy and effectiveness of the proposed methodology have been demonstrated through experimental results. Compared with experience-based methods that only have dense and isolated critical patterns, our proposed method has a 9.8% increase in accuracy and a 35% decrease in runtime. Even compared with experience-based methods that include forbidden pitches, our method still achieves a 6.4% increase in accuracy without increasing runtime.ConclusionsIn summary, the suggested approach for selecting critical patterns based on SCO surpasses the experience-based methods in terms of both accuracy and efficiency. It can significantly shorten the modeling cycle of resists.
KEYWORDS: Matrices, Image classification, Lithography, Education and training, Convolution, Machine learning, Signal processing, Deep learning, Mathematical optimization, Data modeling
BackgroundLayout classification is an important step in computational lithography approaches, such as the source-mask joint optimization, in which the representative samples are selected from each layout classification category to guide the source optimization. As an emerging machine learning method, graph convolutional network (GCN) can effectively perform the graph or image classification by defining a new propagation function to complete the convolution on the topological graph.AimWe propose a new kind of GCN model combined with the graph attention mechanism, dubbed GAM-GCN, to classify the lithography layout patterns fast and accurately.ApproachBy adding a graph attention layer, the weight coefficients of each pair of neighboring nodes are adaptively learned to improve the network performance. In addition, the model incorporates a skip connection structure to solve the over-smooth problem caused by the deep GCN model.ConclusionsCompared with some traditional deep learning methods and the GCN method, GAM-GCN obtains a significant improvement in classification accuracy while ensuring the computational efficiency.
Computed tomography (CT) sequentially interrogates the object of interest from a complete set of view angles. Sequential scanning in CT introduces an acquisition delay and high radiation dose. This paper proposes a compressive sensing based “snapshot” coded X-ray CT (CXCT) method, where the object is simultaneously illuminated by multiple fan-beam X-ray sources equipped with coding masks in a fixed circular gantry. Low radiation dose is achieved by the use of incomplete projection measurements and encoded structured illuminations. Since all the measurement data are produced in one snapshot, the inspection time and motion artifacts are effectively reduced. Due to the overlap of X-rays in the measurements from several sources, a nonlinear reconstruction framework is established based on rank, intensity and sparsity priors. Then, a Newton split Bregman algorithm is exploited to reconstruct the object from a small set of nonlinear encoded measurements. Compared to the state-of-the-art CXCT approaches based on a linear model, the proposed method reduces the inspection time and motion artifacts significantly, achieving higher or comparable reconstruction accuracy.
Formulation optimization plays an important role in the research and development of chemically amplified resist (CAR). However, the CAR profile after development process is influenced by multiple resist parameters and process conditions, so it is hard to determine the optimal CAR formulation in the multivariate problem. An optimization method for the CAR formulation is developed. The simple random sampling is applied to each CAR parameter’s value range independently, and the combinations of these samples from different parameters are used in the simulation of lithography profiles. Kernel density estimation is applied to analyze the simulation results. Then the CAR formulation is optimized based on the probability density distribution from the analysis results. The verification results show that the proposed optimization method can greatly improve the stability of the CAR formulation and thus generating acceptable critical features’ sizes of the CAR profile.
The improvement of accuracy and efficiency in simulating the profile of the chemically amplified resist (CAR) is always a key point in lithography. With the development of machine learning, many models have been successfully applied in optical proximity correction (OPC), hotspot detection, and other lithographic fields. In this work, we developed a neural network for predicting the critical features’ sizes of the CAR profile. By using a pre-calibrated physical resist model, the effectiveness of this model is demonstrated from numerical simulation. The results indicate that for the critical dimensions (CDs) of the CAR profile, this model shows great speed and accuracy. After applying the tuned neural network on the test sets, it shows 92.98% of the test sets have a mean square error (MSE) less than 1%.
Mask three-dimensional (M3D) effects are non-negligible for imaging simulation of EUV lithography systems. Especially, the curvilinear mask obtained by inverse lithography technique (ILT) increases the difficulty to calculate the diffraction spectrum of the thick masks. In this paper, a fast thick-mask model based on multi-channel U-Net (MCU-Net) is proposed to solve this problem. The diffraction near-field (DNF) of thick mask in EUV lithography is characterized by four complex-valued diffraction matrices, the real parts and imagery parts of which can be represented by eight realvalued diffraction matrices in total. Then, all of the eight real-valued diffraction matrices can be synthesized together using the proposed MCU-Net model. The parameters of MCU-Net are trained in a supervised manner based on a precalculated DNF dataset of curvilinear thick masks. The comparison of the proposed method with some other learningbased thick-mask models is provided and discussed. It shows that the MCU-Net is efficient and accurate to simulation the M3D effect in EUV lithography.
BackgroundIn advanced technology nodes, forbidden pitches (FPs) can reduce the depth of focus and limit the overlapped process window of lithography. In extreme ultraviolet (EUV) lithography, one pattern in a different orientation or different position of arc slit field will have a different shadowing effect, due to the chief ray angle and azimuthal angle of the incident light. Therefore, it is necessary to mitigate the FP effect of EUV lithography.AimWith the purpose of mitigating the phenomenon of FPs in the arc slit exposure field, we propose an optimization method based on a genetic algorithm (GA).ApproachThe optimization method is derived from the basic flow of the GA. Three mask parameters are selected as the variables, including the mask bias and the width and position of the assist feature. The cost function is designed to evaluate the process window, normalized image log slope, and contrast of different fields in the arc slit. After multiple iterations, an optimal combination of three variables is obtained.ResultsThe simulation results show that the optimized mask structure given by the proposed method in the arc slit exposure field can improve the process window in the horizontal and vertical orientations, respectively.ConclusionsThe limitation of FPs to the process window is removed using mask optimization based on the GA. The proposed optimization method has the potential to be an effect candidate for FPs mitigation.
Layout classification is an important task used in lithography simulation approaches, such as source optimization (SO), source-mask joint optimization (SMO) and so on. In order to balance the performance and time consumption of optimization, it is necessary to classify a large number of cut layouts with the same key patterns. This paper proposes a new kind of classification method for lithography layout patterns based on graph convolution network (GCN). GCN is an emerging machine learning approach that achieves impressive performance in processing graph signals with nonEuclidean topology structures. The proposed method first transforms the layout patterns into graph signals, where the sum of several adjacent layout pixels is associated with one graph vertex. Next, the adjacent graph vertices are connected by the graph edges, where the edge weights are determined by the correlations between the vertices. Therefore, the layout geometries can be represented by the function values on the graph vertices and the adjacency matrix. Subsequently, the GCN framework is established based on the graph Fourier transform, where the input is the graph signal of the layout, and the output is its classification label. The network parameters of GCN are trained in a supervised manner. The proposed method is compared to the simple convolutional neural network (CNN) with a few layers and VGG-16 network, respectively. Finally, the features of different methods are discussed in terms of classification accuracy and computational efficiency.
Optical proximity correction (OPC) is regarded as one of the most important computational lithography approaches to improve the imaging performance of sub-wavelength lithography process. Traditional OPC methods are computationally intensive to pre-warp the mask pattern based on inverse optimization models. This paper develops a new kind of pixelated OPC method based on an emerging machine learning technique namely graph convolutional network (GCN) to improve the computational efficiency. In the proposed method, the target layout is raster-scanned into pixelated image, and the GCN is used to predict its corresponding OPC solution pixel by pixel. For each layout pixel, we first sub-sample its surrounding geometrical features using an incremental concentric circle sampling method. Then, these sampling points are converted into graph signals. Then, the GCN model is established to process the pre-defined graph signals and predict the central pixel within the sampling region on the OPC pattern. After that, the GCN is moved to predict the OPC solution of the next layout pixel. The proposed OPC method is validated and discussed based on a set of simulations, and is compared with traditional OPC methods.
In this paper, a coded aperture optimization approach based on sparse principal component analysis (SPCA) is proposed to maximize the information sensed by a set of cone-beam projections. The variables in the CT system matrix correspond to observations of the attenuation characteristics of X-ray projections. An adjusted joint variance is used to update the variables and thus the overlapping information of the kth principal component is constrained by the previous k-1 principal components. Since the coded aperture matrix is diagonal and binary, an efficient algorithm is proposed to reduce the complexity by one order of magnitude. Simulations using simulated datasets, 3D Shepp-Logan phantom, show significant gains up to 23.5dB compared with that attained by random coded apertures. Singular value decomposition (SVD) of the optimized coded apertures is used to analyze the performance of the proposed coded aperture optimization method based on SPCA.
Source optimization (SO) is a widely used resolution enhancement technique to improve the imaging performance of optical lithography systems. Recently, a fast pixelated SO method for inverse lithography has been developed based on the theory of compressive sensing (CS). In last several years, CS has explored numerous reconstruction algorithms to solve for inverse problems. These algorithms are critical in attaining good reconstruction quality also aiming at reducing the time complexity. This paper compares different SO methods based on CS algorithms including the linearized Bregman (LB) algorithm, the alternating direction method of multipliers (ADMM), the fast iterative shrinkage-thresholding algorithm (FISTA), the approximate message-passing (AMP), and the gradient projection for sparse reconstruction (GPSR). Benefiting from the strategy of variable splitting and adaptive step size searching, the GPSR method effectively retains the optimization efficiency. Computational experiments also show that the GPSR method can achieve superior or comparable SO performance on average over other methods. It is also shown that the proposed SO methods can be applied to develop a fast source-mask optimization (SMO) method based on the CS framework.
An EUV source optimization technique using compressive sensing is introduced in this paper. The pixelated source pattern is sparsely represented in a set of certain basis functions. Blue noise sampling method is used to select sampling points around the margins of the target layout for imaging fidelity evaluation. Based on the compressive sensing theory, the EUV SO is formulated as an l1-norm inverse reconstruction problem and solved by the linearized Bregman algorithm. Different types of sparse bases are also experimented in this paper to investigate their impact on the SO results. These bases include the 2D-DCT basis, spatial basis, Zernike basis, and Haar wavelet basis. Simulations show that ℓthe Haar wavelet basis results in the best imaging fidelity among the four types of bases.
This manuscript explores a new approach for spectral X-ray tomography that uses K-edge filtering structures to attain spectral and spatially coded illumination which enables the acquisition of compressive measurements for the reconstruction of energy-binned images. The system is coined compressive spectral X-ray imaging (CSXI). A multi-stage algorithm is proposed to solve the non-linear ill-posed problem using sparse and low-rank regularization constraints to exploit the structure of the spectral data cube. The proposed algorithm can reconstruct both the energy binned images as well as the material decomposition of the object given a set of basis materials.
The CT system structure matrix in the coded aperture compressive X-ray tomography (CACXT) is highly structured and thus the random coded apertures are not optimal. A fast approach based on minimal information loss is proposed. The peak signal to noise ratios (PSNR) of the reconstructed images with optimized coded apertures exhibit significant gains and the design execution time is reduced by orders of magnitude. Simulations results for optimized coded apertures are shown, and their performance is compared to the use of random coded apertures.
Coded aperture snapshot spectral imager (CASSI) uses focal plane array (FPA) to capture three dimensional (3D) spectral scene by single or a few two-dimensional (2D) snapshots. Current CASSI systems use a set of fixed coded apertures to modulate the spatio-spectral data cube before the compressive measurement. This paper proposes an adaptive projection method to improve the compressive efficiency of the CASSI system by adaptively designing the coded aperture according to a-priori knowledge of the scene. The adaptive coded apertures are constructed from the nonlinear thresholding of the grey-scale map of the scene, which is captured by an aided RGB camera. Then, the 3D encoded spectral scene is projected onto the 2D FPAs. Based on the sparsity assumption, the spectral images can be reconstructed by the compressive sensing algorithm using the FPA measurements. This paper studies and verifies the proposed adaptive coded aperture method on a spatial super-resolution CASSI system, where the resolution of the coded aperture is higher than that of the FPAs. It is shown that the adaptive coded apertures provide superior reconstruction performance of the spectral images over the random coded apertures.
Source and mask optimization (SMO) has emerged as a key resolution enhancement technique for advanced optical lithography. Current SMO, however, keeps the polarization state fixed, thus limiting the degrees of freedom during the optimization procedure. To overcome this limitation, pixelated gradient-based joint source polarization mask optimization (SPMO) approaches, which effectively extend the solution space of the SMO problem by introducing polarization variables, are developed. First, the SPMO framework is formulated using an integrative and analytic vector imaging model that is capable of explicitly incorporating the polarization angles. Subsequently, two optimization methods, namely simultaneous SPMO (SISPMO) and sequential SPMO (SESPMO) are developed, both of which exploit gradient-based algorithms to solve for the optimization problem. In addition, a postprocessing method is applied to reduce the complexity of the optimized polarization angle pattern for improving its manufacturability. Illustrative simulations are presented to validate the effectiveness of the proposed algorithms. The simulations also demonstrate the superiority of the SESPMO over SISPMO in computational efficiency and improvement of image fidelity.
Aerial image calculation is the basis of the current lithography simulation. As the critical dimension (CD) of the integrated circuits continuously shrinks, the thick mask near-field calculation has increasing influence on the accuracy and efficiency of the entire aerial image calculation process. This paper develops a flexible librarybased approach to significantly improve the efficiency of the thick mask near-field calculation compared to the rigorous modeling method, while leading to much higher accuracy than the Kirchhoff approximation method. Specifically, a set of typical features on the fullchip are selected to serve as the training data, whose near-fields are pre-calculated and saved in the library. Given an arbitrary test mask, we first decompose it into convex corners, concave corners and edges, afterwards match each patch to the training layouts based on nonparametric kernel regression. Subsequently, we use the matched near-fields in the library to replace the mask patches, and rapidly synthesize the near-field for the entire test mask. Finally, a data-fitting method is proposed to improve the accuracy of the synthesized near-field based on least square estimate (LSE). We use a pair of two-dimensional mask patterns to test our method. Simulations show that the proposed method can significantly speed up the current FDTD method, and effectively improve the accuracy of the Kirchhoff approximation method.
Source mask optimization (SMO) is a leading resolution enhancement technique in immersion lithography at the 45-nm node and beyond. Current SMO approaches, however, fix the numerical aperture (NA), which has a strong impact on the depth of focus (DOF). A higher NA could realize a higher resolution but reduce the DOF; it is very important to balance the requirements of NA between resolution and the DOF. In addition, current SMO methods usually result in complicated source and mask patterns that are expensive or difficult to fabricate. This paper proposes a parametric source-mask-NA co-optimization (SMNO) method to improve the pattern fidelity, extend the DOF, and reduce the complexity of the source and mask. An analytic cost function is first composed based on an integrative vector imaging model, in which a differentiable function is applied to formulate the source and mask patterns. Then, the derivative of the cost function is deduced and a gradient-based algorithm is used to solve the SMNO problem. Simulation results show that the proposed SMNO can achieve the optimum combination of parametric source, mask, and NA to maintain high pattern fidelity within a large DOF. In addition, the complexities of the source and mask are effectively reduced after optimization.
Optical proximity correction (OPC) is a resolution enhancement technique extensively used in the semiconductor industry to improve the resolution and pattern fidelity of optical lithography. In pixel-based OPC (PBOPC), the layout is divided into small pixels, which are then iteratively modified until the simulated print image on the wafer matches the desired pattern. However, the increasing complexity and size of modern integrated circuits make PBOPC techniques quite computationally intensive. This paper focuses on developing a practical and efficient PBOPC algorithm based on a nonparametric kernel regression, a well-known technique in machine learning. Specifically, we estimate the OPC patterns based on the geometric characteristics of the original layout corresponding to the same region and a series of training examples. Experimental results on metal layers show that our proposed approach significantly improves the speed of a current professional PBOPC software by a factor of 2 to 3, and may further reduce the mask complexity.
Source optimization (SO) has become increasing important to improve the process window (PW) of optical
lithography systems. Most of current SO approaches modify the source intensity distribution, but fix the polarization
state thus limiting the degrees of optimization freedom. In addition, these SO methods simultaneously
optimize the imaging performance on focal and defocal planes to extend the depth of focus (DOF) at the cost of
increasing the computational complexity. To overcome these above limitations, this paper develops a pixelated
gradient-based polarization optimization (PO) method to effectively extend the PW by seeking for the optimal
polarization angle for each point source. In order to accelerate the optimization process, the proposed method
tries to optimize a compact cost function incorporating the integral imaging performance over a certain defocus
range, rather than taking into account the separate performance metrics on different imaging planes. A gradientbased
algorithm is exploited to iteratively modulate the polarization angles to keep reducing the cost function.
Finally, a post-processing method is applied to reduce the complexity of the optimized polarization angle pattern
for improving its manufacturability. Simulations show that the proposed PO algorithm will achieve approximate
two-fold speedup compared to the method using a traditional cost function. The proposed PO algorithm is
potential to be applied independently or associated with source and mask optimizations to further improve the
lithographic performance.
Publisher’s Note: This paper, originally published on 3/31/14, was replaced with a corrected/revised version on
6/3/14. If you downloaded the original PDF but are unable to access the revision, please contact SPIE Digital
Library Customer Service for assistance.
Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.
Recently, a set of gradient-based optical proximity correction (OPC) and phase shifting mask (PSM) optimization
methods have been developed to solve for the inverse lithography problem under scalar imaging models, which are
only accurate for numerical apertures (NA) less than approximately 0.4. However, as the lithography technology
node enters the 45nm realm, immersion lithography systems with hyper-NA (NA>1) are now extensively used
in the semiconductor industry. For the hyper-NA lithography systems, the vector nature of the electromagnetic
field must be taken into account, leading to the vector imaging models. Thus, the OPC and PSM optimization
approaches developed under the scalar imaging models are inadequate to enhance the resolution in the immersion
lithography systems. This paper focuses on developing gradient-based OPC and PSM optimization algorithms
under vector imaging models. The mask optimization framework is first formulated, in which the imaging
process of the optical lithography system is represented by an integrative and analytic vector imaging model.
The steepest descent algorithm is then used to optimize the mask iteratively. Subsequently, a generalized wavelet
penalty (GWP) is proposed to improve the manufacturability of the mask, and results in smaller pattern errors
and CD errors than the traditional wavelet penalty (WP). Finally, a set of algorithm acceleration techniques are
exploited to speed up the proposed algorithms.
Optical proximity correction (OPC) and phase shifting mask (PSM) are the most widely used resolution enhancement
techniques (RET) in the semiconductor industry. Recently, a set of OPC and PSM optimization
algorithms have been developed to solve for the inverse lithography problem, which are only designed for the
nominal imaging parameters without giving sufficient attention to the process variations due to the aberrations,
defocus and dose variation. However, the effects of process variations existing in the practical optical lithography
systems become more pronounced as the critical dimension (CD) continuously shrinks. On the other hand, the
lithography systems with larger NA (NA>0.6) are now extensively used, rendering the scalar imaging models
inadequate to describe the vector nature of the electromagnetic field in the current optical lithography systems.
In order to tackle the above problems, this paper focuses on developing robust gradient-based OPC and PSM
optimization algorithms to the process variations under a vector imaging model. To achieve this goal, an integrative
and analytic vector imaging model is applied to formulate the optimization problem, where the effects
of process variations are explicitly incorporated in the optimization framework. The steepest descent algorithm
is used to optimize the mask iteratively. In order to improve the efficiency of the proposed algorithms, a set of
algorithm acceleration techniques (AAT) are exploited during the optimization procedure.
Optical proximity correction (OPC) methods are resolution enhancement techniques used extensively in the semiconductor industry to improve the resolution and pattern fidelity of optical lithography. During the mask data preparation process, the mask pattern is first fractured into basic rectangles, and then fabricated by the variable-shaped-beam mask writing machine. The rectangle count included in the fractured pattern is preferable to be suppressed to reduce the mask fabricating time and cost. Recently, various pixel-based OPC (PBOPC) approaches have been developed to improve the resolution of optical lithography systems. However, these approaches fall short in controlling the rectangle count in the fractured pattern, thus deteriorating the manufacturability of the mask. This paper focuses on developing gradient-based PBOPC optimization algorithms to improve the resolution of optical lithography, while controlling the manufacturability of the mask. To achieve this goal, a topography filter is designed to analytically formulate the rectangle count in the fractured pattern during the optimization process. The manufacturability cost term is then introduced to constrain the complexity of the mask. Cost sensitivity is applied to speed up the proposed algorithms. A line search method is used to properly choose the parameters, and leads to superior resolution and manufacturability of masks.
In microlithography, mask patterns are first fractured into trapezoids and then written with a variable shaped
beam machine. The efficiency and quality of the writing process is determined by the trapezoid count and
external slivers. Slivers are trapezoids with width less than a threshold determined by the mask-writing tool.
External slivers are slivers whose length is along the boundary of the polygon. External slivers have a large
impact on critical dimension (CD) variability and should be avoided. The shrinking CD, increasing polygon
density, and increasing use of resolution enhancement techniques create new challenges to control the trapezoid
count and external sliver length. In this paper, we propose a recursive cost-based algorithm for fracturing which
takes into account external sliver length as well as trapezoid count. We start by defining the notion of Cartesian
convexity for rectilinear polygons. We then generate a grid-based sampling as a representation for fracturing.
From these two ideas we develop two recursive algorithms, the first one utilizing a natural recurrence and the
second one a more complex recurrence. Under Cartesian convexity conditions, the second algorithm is shown to
be optimal, but with a significantly longer runtime than the first one. Our simulations demonstrate the natural
recurrence algorithm to result in up to 60% lower external sliver length than a commercially available fracturing
tool without increasing the polygon count.
In optical lithography, mask pattern is first fractured into basic trapezoids, and then fabricated by the variable
shaped beam mask writing machine. Ideally, mask fracture tools aim at both suppressing the trapezoid count
to speed up the write time, and minimizing the external sliver length to improve CD uniformity. However, the
increasing transistor density, smaller feature sizes, and the aggressive use of resolution enhancement techniques
pose new challenges to write time and CD uniformity. In this paper, we propose a fracture heuristics to improve
the sliver performance of current commercially available fracturing tools. In the proposed approach, the mask
layout is first decomposed into elemental rectangles by the rays emitted from each concave corner. Then, a rectangle
combination technique is applied to search and eliminate the external slivers from the polygon boundaries
by moving them to the center. This approach guarantees that the resulting trapezoid count approaches the
theoretical lower bound. Compared to a current commercially available fracturing tools, our proposed approach
effectively reduces the external sliver length by 8% to 13%.
Recently, a set of generalized gradient-based optical proximity correction (OPC) optimization methods have been
developed to solve for the forward and inverse lithography problem under the thin-mask assumption, where the
mask is considered a thin 2-D object. However, as the critical dimension printed on the wafer shrinks into the
subwavelength regime, thick-mask effects become prevalent and thus these effects must be taken into account in
OPC optimization methods. OPC methods derived under the thin-mask assumption have inherent limitations
and perform poorly in the subwavelength scenario. This paper focuses on developing model-based forward binary
mask optimization methods which account for the thick-mask effects of coherent imaging systems. The boundary
layer (BL) model is exploited to simplify and characterize the thick-mask effects, leading to a computationally
efficient OPC method. The BL model is simpler than other thick-mask models, treating the near field of the mask
as the superposition of the interior transmission areas and the boundary layers. The advantages and limitations
of the proposed algorithm are discussed and several illustrative simulations are presented.
Phase-shifting masks (PSM) are resolution enhancement techniques (RET) used extensively in the semiconductor
industry to improve the resolution and pattern fidelity of optical lithography. Recently, a set of gradient-based
PSM optimization methods have been developed to solve for the inverse lithography problem under coherent
illumination. Most practical lithography systems, however, use partially coherent illumination due to non-zero
width and off-axis light sources, which introduce partial coherence factors that must be accounted for in the
optimization of PSMs. This paper thus focuses on developing a framework for gradient-based PSM optimization
methods which account for the inherent nonlinearities of partially coherent illumination. In particular, the
singular value decomposition (SVD) is used to expand the partially coherent imaging equation by eigenfunctions
into a sum of coherent systems (SOCS). The first order coherent approximation corresponding to the largest
eigenvalue is used in the PSM optimization. In order to influence the solution patterns to have more desirable
manufacturability properties and higher fidelity, a post-processing of the mask pattern based on the 2D discrete
cosine transformation (DCT) is introduced. Furthermore, a photoresist tone reversing technique is exploited in
the design of PSMs to project extremely sparse patterns.
Optical proximity correction (OPC) methods are resolution enhancement techniques (RET) used extensively in
the semiconductor industry to improve the resolution and pattern fidelity of optical lithography. Recently, a set of
generalized gradient-based OPC optimization methods have been developed to solve for the inverse lithography
problem under coherent illumination. Most practical lithography systems, however, operate under partially
coherent illumination due to non-zero width sources and off-axis illumination from spatially extended sources.
OPC methods derived under the coherent illumination assumption fail to account for the nonlinearities of partially
coherent illumination and thus perform poorly in the latter scenario. This paper focuses on developing gradient-based
binary mask optimization methods which account for the inherent nonlinearities of partially coherent
systems. Two nonlinear models are used in the optimization. The first relies on a Fourier representation of the
nonlinear model which approximates the partially coherent system as a sum of coherent systems. The second
model is based on an average coherent approximation which is computationally faster. In order to influence
the solution patterns to have more desirable manufacturability properties, wavelet regularization is added to the
optimization framework. The advantages and limitations of both models in the inverse lithography problem are
discussed and several illustrative simulations are presented.
Optical proximity correction (OPC) and phase shifting mask (PSM)
are resolution enhancement techniques (RET) used extensively in
the semiconductor industry to improve the resolution and pattern
fidelity of optical lithography. In this paper, we develop
generalized gradient-based RET optimization methods to solve for
the inverse lithography problem, where the search space is not
constrained to a finite phase tessellation but where arbitrary
search trajectories in the complex space are allowed. Subsequent
mask quantization leads to efficient design of PSMs having an
arbitrary number of discrete phases. In order to influence the
solution patterns to have more desirable manufacturability
properties, a wavelet regularization framework is introduced
offering more localized flexibility than total-variation
regularization methods traditionally employed in inverse problems.
The proposed algorithms provide highly effective four-phase PSMs
capable of generating mask patterns with arbitrary Manhattan
geometries. Furthermore, a double-exposure optimization method for
general inverse lithography is developed where each exposure uses
an optimized two-phase mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.