The Self-Aligned Quadruple Patterning (SAQP) process is one of the most suitable techniques for the patterning of under-20 nm half-pitch lines and spaces (L/S) patterns because it requires only one lithography step, resulting in a relatively low process cost. A serious problem when applying the SAQP process to real devices is the printability of defects in the photomask to the wafer because the effect of the mask defects may be enlarged when the defects are transferred to the spacer pattern. In this study, we evaluate the mask defect printability for both opaque and clear defects in the SAQP process in order to clarify the limit size of the defects on the photomask and to clarify whether the acceptable mask defect size given by ITRS was too small. The defect sizes of both the opaque and clear defects were relaxed as the wafer process progressed from lithography to SAQP. The acceptable mask defect size in the SAQP process found to be 70 nm, which is relaxed from that in ITRS2013.
We have created a model that uses discriminant function analysis to predict failures in etched hole patterning of the type
that induces an open-contact failure by using critical dimension scanning electron microscope (CDSEM) measurement
values of after-development resist hole patterning. The input variables of the best model were found to be the resist hole
CD, the difference in resist hole CD between that of the 50% secondary electron (SE) threshold and that of the 20% SE
threshold, and ellipticity. The model indicates that a tapered resist profile is one of the main causes of the open-contact
failure in etched hole patterning. The model is applicable not only to lithography process optimization but also to
lithography process control, where the focus center of optical exposure at resist patterning is determined not only from
the perspective of resist CD but also from the perspective of suppressing the failures of etched hole patterning.
We obtained the acceptable mask defect size for both opaque and clear defects in the spacer patterning process using the
fail-bit-map analysis and a mask with programmed defects. The spacer patterning process consists of the development of
photoresist film, the etching of the core film using the photoresist pattern as the etching mask, the deposition of a spacer
film on both sides of the core film pattern, and the removal of the core film. The pattern pitch of the spacer film becomes
half that of the photoresist. Both the opaque defect and the clear defect of the mask resulted in a short defect in the spacer
pattern. From the fail-bit-map analysis, the acceptable mask defect size for opaque and clear defects was found to be
80nm and 120nm, respectively, which could be relaxed from that in ITRS2008. The difference of the acceptable mask
defect size for opaque and clear defects comes from the difference of the defect printability at the resist development.
We make a new model for pattern failure, which is the pattern collapse and bridging of resist patterns of 43-nm 1:1 lines and spaces (L/S) exposed as a focus-exposure matrix, to explain and predict the process window of the pattern failure. It is found that the conventional Imax-Imin model cannot be fitted to the experimental pass/fail data. Instead of Imax and Imin, we select the critical dimension (CD) and normalized image log slope (NILS) as the model input. The new CD-NILS model corresponds well to the experimental pass/fail data. Good correspondence is assumed to be due to the properly selected model input. Pattern collapse, which occurs during the drying of the water at the rinse of the resist patterns, is expected to be accelerated by the smaller line CD and the larger line width roughness (LWR) due to smaller NILS. Pattern bridging, which occurs during resist development, is expected to be accelerated by the larger line CD and the larger LWR. The CD-NILS model predicts the process window precisely when a new process condition (a new illumination in this case) is adopted. It suggests that the CD-NILS model is a powerful methodology for predicting the process window to optimize the process condition and optimize the lithography design.
We made a new model for the pattern failure, which was the pattern collapse and the pattern bridging, of the resist patterns of 43nm 1:1 lines and spaces (L/S) exposed as a focus-exposure matrix, in order to explain and predict the process window of the pattern failure. It was found that the conventional 'Imax-Imin' model was unable to be fitted to the experimental pass/fail data. Instead of the Imax and Imin, we selected the critical dimension (CD) and the normalized image log slope (NILS) as the model input. The new 'CD-NILS' model corresponded well to the experimental pass/fail data. The good correspondence was assumed to be due to the properly selected model input. The pattern collapse, which occurs during the drying of the water at the rinse of the resist patterns, is expected to be accelerated by the smaller line CD and the larger line width roughness (LWR) due to smaller NILS. The pattern bridging, which occurs at the resist development, is expected to be accelerated by the larger line CD and the larger LWR. The CD-NILS model predicted the process window precisely when a new process condition (= a new illumination in this case) was adopted. It suggests that the CD-NILS model is a powerful methodology for predicting the process window in order to optimize the process condition and to optimize the lithography design.
We have studied both the mask CD specification and the mask defect specification for spacer patterning
technology (SPT). SPT has the possibility of extending optical lithography to below 40nm half-pitch devices. Since
SPT necessitates somewhat more complicated wafer process flow, the CD error and mask defect printability on wafers
involve more process factors compared with conventional single-exposure process (SEP). This feature of SPT implies
that it is very important to determine mask-related specifications for SPT in order to select high-end mask fabrication
strategies; those are for mask writing tools, mask process development, materials, inspection tools, and so on. Our
experimental studies reveal that both mask CD specification and mask defect specification are somehow relaxed from
those in ITRS2007. This is most likely because SPT reduces mask CD error enhanced factor (MEF) and the reduction
of line-width roughness (LWR).
We studied the mask defect printability for both opaque and clear defects in the spacer patterning process. The spacer
patterning process consists of the development of photoresist film, the etching of the core film using the photoresist
pattern as the etching mask, the deposition of a spacer film on both sides of the core film pattern, and the removal of the
core film. The pattern pitch of the spacer film becomes half that of the photoresist. The opaque defect and the clear
defect of the mask, respectively, resulted in an "open-short complex" defect and a short defect in the spacer pattern, The
defect size of both the opaque and clear defect became smaller as the process proceeded from the development to the
core film etching and the spacer pattern fabrication. The decrease of the mask defect printability during the spacer
process is likely to be related to the reduction of the line width roughness (LWR) and to the reduction of mask enhanced
factor (MEF). The acceptable mask defect size was also studied from the viewpoint of the defect printability to the
spacer pattern for both the opaque and clear defect, and found to be 55-60nm, which was relaxed from that in ITRS2007.
KEYWORDS: System on a chip, Etching, Reactive ion etching, Reflectivity, Hydrogen, Fluorine, Lithography, Photoresist processing, Silica, Scanning electron microscopy
The stacked-mask process (S-MAP) is a tri-level resist process by lithography and dry etching, which consists of thin
resist, spin-on-glass (SOG), and spun-on carbon (SOC). However, as design rules progress below 60nm, two problems
arise in the conventional S-MAP: 1) the deformation of SOC line pattern during SiO2 reactive ion etching (RIE), 2) the
degradation of lithography performance due to high reflectivity at the interface between resist and SOG in high NA. In
this study, we clarified the origin of the above problems and improved S-MAP materials and processes. Firstly, we
found that the pattern deformation is induced by the inner stress due to volume expansion by fluorination during RIE,
and that the deformation is suppressed by decreasing hydrogen content of SOC. Secondly, we developed new carbon-containing
SOG that coexists with low reflectivity and acceptable etching performance. Using the above SOG and SOC,
we developed a new S-MAP that shows an excellent lithography / etching performance in sub-45nm device fabrication.
We evaluated the requirements for 65-nm SRAM gate fabrication using attenuated phase shifting masks (att-PSM). Off-axis illumination (OAI) and att-PSM, together with optical proximity correction (OPC) were used as resolution enhancement techniques (RETs) for ultimate resolution. It was shown that the photolithographic parameters of the transmittance of the att-PSM and the illumination conditions for optimum conditions were a transmittance of between 15 and 20% and 3/4 annular illumination. The exposure latitude was simulated to be more than 10.9% at 300-nm defocus for a critical dimension (CD) specification of 10%. It has been demonstrated that a 65-nm SRAM-gate, with a line and space (L/S) ratio limited to 1:2 at the minimum pitch, could be fabricated with sufficient depth of focus (DOF). The pattern transfer was accomplished with a bi-layer process, in which the reactive ion etching (RIE) selectivity between a silicon-containing resist and an organic film is very high. This bi-layer process enabled the application of a very thin resist layer. The conditions described in this paper proved successful for the fabrication of a 65-nm SRAM gate with a good pattern profile despite the resist thickness of less than 120nm.
In 157-nm lithography, an organic bottom-antireflective-coating (BARC), which has been mainly used as an antireflective technology in KrF or ArF lithography, is needed to reduce reflection from the substrate under the resist. To apply a conventional BARC to 157-nm lithography, the BARC thickness must be thinner than the BARC thickness used in KrF or ArF lithography. Because conventional BARCs have a lower dry-etching rate than resists with a fluorinated polymer for 157-nm lithography and the thickness of remaining resist after BARC dry-etching may be greatly reduced. Moreover, the substrate reflection under a conventional thin BARC cannot be completely controlled since the k-value of the extinction coefficient at a 157-nm wavelength is small. Therefore, a BARC material for 157-nm lithography must have a higher k-value at the 157-nm, a higher dry-etching rate than resists with a fluorinated polymer, good matching between the fluorinated resist and the BARC material to ensure a good resist pattern shape, and low outgassing from the BARC material. In this paper, we evaluate a newly developed BARC material (NCA646) for 157-nm lithography. We found that the k-value of this BARC material was 0.45 (1.8 times that of a conventional BARC (DUV30J; Brewer Science, Inc)), and the ratio of the dry-etching rate to that of a KrF resist was 1.53 (1.6 times that of DUV30J). These improvements were achieved by introducing a new chromophore into a BARC polymer of novolak resin. Furthermore, the amount of outgassing from the BARC material when irradiated by 157-nm light was close to 0 ng (irradiated condition; 100 mJ/cm2), and resist patterns with no footing were obtained with four kinds of fluorinated resist on this BARC material. We concluded that this BARC material was suitable for 157-nm lithography.
This paper describes the investigation on the feasibility of current coater/developer processes to the 157-nm lithography from the viewpoint of critical dimension (CD) control. The effect of coating, bake, and development process on the CD of a 157-nm resist, where fluorine is introduced in the side chain, is studied. A KrF and ArF resist is also used for comparison. Firstly, as for the coating process, the coverage performance and the film thickness uniformity of the 157-nm resist shows that the current coating methods are feasible to 157-nm resist, even though the 157-nm resist is highly hydrophobic. Secondly, as for the bake process, the post exposure bake (PEB) temperature dependence of CD for the 157-nm resist is found to be lower than that for 248 and 193-nm resist. This means that our current PEB temperature control system, which is suitable for 248 or 193-nm resist, is also effective for the 157-nm resist. Thirdly, as for the development process, it is found that a static puddle formation process shows a smaller line edge roughness (LER) than a dynamic puddle formation process. Therefore, the static puddle formation process, with the use of linear drive (LD) developer nozzle for instance, is attractive for the 157-nm resist process. Lastly, from the viewpoint of contamination control, it is found that the amine level should be controlled to be less than 0.1ppb in order to prevent the CD change during post exposure delay (PED) for the 157-nm resist.
In 157-nm resists, fluorine atom is added into the polymers in order to improve the transmittance at the exposure wavelength. It is considered that the fluorine atom increases the hydrophobicity of the resists and that the number of defects during development process increases. We evaluated the development defects focusing on the missing contact holes on 157-nm resists. We used different types of 157-nm resists based on such as monocyclic fluoropolymer type, tetrafluoroethylene-norbornene type, and siloxane type. A KrF and ArF resist were also studied for comparison. We measured the number of the missing contact holes for 2500nm 1:2 hole pattern exposed using a KrF stepper. The number of the missing contact holes is higher for the 157-nm resists than that for the KrF or ArF resist. The contact angle of de-ionized water on the resist film of the 157-nm resists was found to be higher than that of the KrF and ArF resists. We examined the number of missing contact holes for various types of resist polymer and the blocking level, and found that the large contact angle, which corresponds to the high hydrophobicity of the resist film, induces the large number of the missing contact holes. The origin of the missing contact holes is the micro bubbles in the developer solution induced by the dissolved nitrogen. For the 157-nm resists, the wettability between resist surface and developer solution is insufficient due to the high hydrophobicity of the resists. Therefore, the micro bubbles are not easily released from the resist surface, and the number of the micro bubbles increases. In order to reduce the number of the missing contact holes, we tried the surfactant-containing developer solution and also tried the nitrogen-degassed developer solution. In both developers, we found that the number of the missing contact holes is reduced by less than half.
A highly transparent (60% transmittance at 120-nm thickness: abs.=1.85/μm), fluorine-containing, silsesquioxane-type resist for 157-nm lithography has been developed. When the resist was exposed with a 0.85-numerical-aperture (0.85-NA) microstepper and a phase-shifting mask, the high transmittance resulted in a steep profile for a 55-nm 1:1 line and space (L/S) pattern, as well as a feasible depth of focus (DOF) of 0.2 μm for a 100-nm contact hole (C/H) pattern. By using a 157-bi-layer resist process, which employed 120 nm of silsesquioxane-type resist as the top layer and a 200-nm-thick organic film as the underlayer, a sub-100-nm C/H pattern could be successfully fabricated and transferred to a 400-nm-thick SiO2 film by reactive ion etching (RIE). Neither pattern deformation during RIE nor residue after resist ashing was observed. The successful fabrication of a sub-100-nm C/H pattern in 400-nm-thick SiO2 clearly demonstrated the advantage of the 157-nm bi-layer resist process for fabricating sub-65-nm-node semiconductor devices, especially C/H fabrication or damascene process.
We describe and evaluate three kinds of pattern transfer processes that are suitable for 157-nm lithography. These transfer processes are (1) a hard mask (HM) process using SiO as a HM material, (2) a HM process using an organic bottom anti-reflecting coating/SiN structure, and (3) a bi-layer process using a silicon-containing resist and an organic film as the bottom layer. In all of these processes, the underlayer of the resist acts as an anti-reflecting layer. For the HM processes, we patterned a newly developed fluorine-containing resist using a 157-nm microstepper, and transferred the resist patterns to the hard mask by reactive ion etching (RIE) with minimal critical dimension shift. Using the HM pattern, we then fabricated a 65 nm WSi/poly-Si gate pattern using a high-numerical aperture (NA) microstepper (NA = 0.85). With the bi-layer process, we transferred a 60 nm 1:1 lines and spaces pattern of a newly developed silicon-containing resist to a 300-nm-thick organic film by RIE. The fabrication of a 65 nm 1:1 gate pattern and 60 nm 1:1 organic film pattern clearly demonstrated that 157-nm lithography is the best candidate for fabricating sub-70 nm node devices.
157 nm lithography is being investigated for the sub-70 nm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F2 laser, the resist materials, the resist processing and the mask materials. A critical component for the success of this 157 nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and higher process margin. In this paper, we describe our recent evaluation results of a high precision 157 nm Microstepper with 0.85 NA lens combined with simulation analysis of the lithographic performance. The details of the evaluation results discussed here include the resolution limit of the high NA lens and the possible effects of intrinsic birefringence upon the lithographic performance.
l57nm lithography is being investigated for the sub-7Onm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F2 laser, the resist materials, the resist processing and the mask materials1. A critical component for the success of this 157nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and higher process margin. In this article, we describe our recent evaluation results of a high precision 157nm Microstepper with 0.85 NA lens combined with simulation analysis of the lithographic performance. The details of the evaluation results discussed here include the resolution limit of the high NA lens and the possible effects of intrinsic birefringence upon the lithographic performance.
We describe and evaluate three kinds of pattern transfer processes that are suitable for 157-nm lithography. These transfer processes are 1) a hard mask (HM) process using SiO as a HM material, 2) a HM process using an organic bottom anti-reflecting coating (BARC)/SiN structure, and 3) a bi- layer process using a silicon-containing resist and an organic film as the bottom layer. In all of these processes, the underlayer fo the resist acts as an anti-reflecting layer. For the HM processes, we patterned a newly developed fluorine-containing resist using a 157-nm microstepper, and transferred the resist patterns to the hard mask by reactive ion etching (RIE) with minimal critical dimension shift. Using the HM pattern, we then fabricated a 65nm Wsi/poly-Si gate pattern using a high-NA microstepper (NA=0.85). With the bi-layer process, we transferred a 60nm 1:1 lines and spaces pattern of a newly developed silicon-containing resist to a 300nm-thick organic film by RIE. The fabrication of a 65nm 1:1 gate pattern and 60nm 1:1 organic film patten clearly demonstrated that 157-nm lithography is the best candidate for fabricating sub-70nm node devices.
Reducing defects in the semiconductor photolithography process has become increasingly critical. Many kinds of defects can occur during photolithography, such as missing contact holes or pattern collapses that occur during developing. As the pattern size becomes finer, the exposure wavelength has been shortened from 248-nm to 193-nm, and then to 157-nm. In addition, the resin structure and the chemical characteristics of the resist material have changed greatly. Changing the resist material from I-line to 248-nm created the problem of satellite defects peculiar to chemically amplified resist. Previous studies have suggested that a satellite defect is a complex salt of PAG, quencher, and TMAH, and is soluble in water.1) Because the resist material for 157-nm lithography is highly hydrophobic and is used for making ultra-thin films, defect evaluations of it are necessary. This paper evaluates the defects arising with various kinds of 157-nm lithography resist. Just as with 248-nm resist, a deposition defect peculiar to CAR occurs with 157-nm resist, but it occurs more frequently than with 248-nm resist. Unique defects appear with 157-nm resist, but their appearance and frequency seem to depend on the resist structure. The number of missing contact holes increases when the contact angle to ultra-pure water on the 157-nm resist film raise. It is necessary to elucidate on the mechanism that the unique defect occur in 157-nm resist.
Exposure wavelengths have shortened remarkably as device design rules have become increasingly minute. The switch over from KrF to ArF exposure and the development of techniques to use an even shorter wavelength of 157 nm have led to various problems related to the exposure process and changes in the resist materials. In this paper, we focus on 157-nm exposure, which will require thinner resist coatings and new resist materials to deal with the effects of light absorption and solvent transparency. We expect the structure and characteristic of future resist materials to be greatly improved compared to those of the current model. Despite the use of new resist materials, the need to lower the number of defects occurring during the lithography and finer design rules will make environmental control increasingly important as regards critical dimension control. Our knowledge of what environmental control will be needed is incomplete, though, and so we examine some of the environmental factors that will affect lithography with 157-nm exposure in this report.
Fluoropolymers are key materials for single layer resists of 157nm lithography. We have been studying fluoropolymers to identify their potential for base resins of 157nm photoresist. Many fluoropolymers showed high optical transparencies, with absorption coefficients of 0.01micrometers -1 to 2micrometers -1 at 157nm, and dry- etching resistance comparable to an ArF resist, and non- swelling solubility in the standard developer. Positive- tone resists were formulated using fluoropolymers that fulfill practical resist requirements. They showed good sensitivities, from 1 mJ/cm(superscript 2 to 10 mJ/cm2, and contrast in the sensitivity curves. They were able to be patterned using a F2 laser microstepper.
Application of polysilanes for a deep UV (DUV) bottom anti- reflective coating (BARC), in order to resolve the problem posed by the insufficient anti-reflection with thin conventional organic BARC applied on transparent dielectric film, is described. The newly developed polysilane anti- reflective coating has the real part of refractive index, n equals 2.00, and the imaginary part, k equals 0.23 at 248 nm. The polysilane coating is immiscible with a chemically amplified photoresist, and is not removable during normal wet development of photoresist. Etching rate of the polysilane is 2 times faster than that of DUV resist during BARC etching, and lower than that of DUV resist during dielectric film etching. The polysilane layer is easily removed by ashing using O2 gas process. Using thick polysilane coating, it can realize both the suppression of the interface reflection between the resist and BARC and good critical dimension control on dielectric film.
Channel waveguides were fabricated using a nonlinear optical organic material (-)2-(a-methylbenzylamino)-
5-nitropyridine (MBANP) with the objective of application to the frequency doubling of laser
diode light. Blue light was obtained by second-harmonic generation in the form of Cerenkov radiation
using a laser diode source oscillating at A = 870 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.