KEYWORDS: Inspection, Extreme ultraviolet, Defect detection, Speckle, Signal to noise ratio, Objectives, Light sources and illumination, Calibration, Analog to digital converters
As EUV lithography becomes increasingly prevalent in the fabrication of advanced IC chips, the ability to provide defect-free EUV masks through reliable pattern defect inspection tools is crucial for successful high volume EUV wafer fabrication. While the existing mask pattern inspection tool is based on 193nm DUV wavelength and has been used for EUV mask production for many years, the development of actinic pattern mask inspection (APMI) tools has enhanced detection capabilities for printable defects on EUV wafers. However, both DUV-based and APMI tools are costly inspection scenarios. This study aims to evaluate the Lasertec X9ULTRA, a new 193nm EUV mask pattern inspection tool, as a more cost-effective solution for high volume N3 EUV mask making. By optimizing shading calibration conditions and improving tool optics components for illumination and speckle noise reduction, the signal-to-noise ratio (SNR) of N3 mask DOIs were enhanced. As a result, X9ULTRA has the capability to detect all printable defects of interest (DOIs) accurately through die-to-die pattern inspection, meeting the sensitivity requirement of N3 mask productions. The validation of real N3 mask productions confirmed the tool's false defect count performance also. Furthermore, the X9ULTRA tool can output full mask pattern images to TSMC in-house GPU-based image computers and data servers, allowing for the development of a TSMC in-house die-to-database defect inspection algorithm. This study identified the SNR of DOIs, the defect detection capability, and the false count performance of the in-house die-todatabase algorithm using N3 masks.
One of the main challenges in photomask cleaning is balancing particle removal efficiency (PRE) with pattern
damage control. To overcome this challenge, a high frequency megasonic cleaning strategy is implemented.
Apart from megasonic frequency and power, photomask surface conditioning also influences cleaning
performance. With improved wettability, cleanliness is enhanced while pattern damage risk is simultaneously
reduced. Therefore, a particle removal process based on higher megasonic frequencies, combined with proper
surface pre-treatment, provides improved cleanliness without the unintended side effects of pattern damage, thus
supporting the extension of megasonic cleaning technology into 10nm half pitch (hp) device node and beyond.
As optical lithography continues to extend into low-k1 regime, resolution of mask patterns continue to diminish, and so
do mask defect requirements due to increasing MEEF. Post-inspection, mask defects have traditionally been classified by
operators manually based on visual review. This approach may have worked down to 65/55nm node layers. However,
starting 45nm and smaller nodes, visually reviewing 50 to sometimes 100s of defects on masks with complex modelbased
OPC, SRAF, and ILT geometries, is error-prone and takes up valuable inspection tool capacity. Both these
shortcomings in manual defect review are overcome by adoption of the computational solution called Automated Defect
Classification (ADC) wherein mask defects are accurately classified within seconds and consistent to guidelines used by
production technicians and engineers.
AIMS™ Die-to-Die (D2D) is widely used in checking the wafer printability of mask defects for DUV
lithography. Two AIMS images, a reference and a defect image, are captured and compared with differences
larger than certain tolerances identified as real defects. Since two AIMS images are needed, and since AIMS
system time is precious, it is desirable to save image search and capture time by simulating reference images
from the OPC mask pattern and AIMS optics. This approach is called Die-to-Database (D2DB). Another
reason that D2DB is desirable is in single die mask, where the reference image from another die does not
exist.
This paper presents our approach to simulate AIMS optics and mask 3D effects. Unlike OPC model,
whose major concern is predicting printed CD, AIMS D2DB model must produce simulated images that
match measured images across the image field. This requires a careful modeling of all effects that impact the
final image quality. We present a vector-diffraction theory that is based on solid theoretical foundations and a
general formulation of mask model that are applicable to both rigorous Maxwell solver and empirical model
that can capture the mask 3D-effects. We demonstrated the validity of our approach by comparing our
simulated image with AIMS machine measured images. We also briefly discuss the necessary changes needed
to model EUV optics. Simulation is particularly useful while the industry waits for an actinic EUV-AIMS
tool.
Lin He, Noel Corcoran, Danping Peng, Vikram Tolani, Hsien-Min Chang, Paul Yu, Kechang Wang, C. J. Chen, T. H. Yen, Rick Lai, B. H. Ong, Laurent C. C. Tuo
The routine use of aggressive OPC at advanced technology nodes, i.e., 40nm and beyond, has made photomask
patterns quite complex. The high-resolution inspection of such masks often result in more false and nuisance defect
detections than ever before. Traditionally, each defect is manually examined and classified by the inspection operator
based on defined production criteria. The significant increase in total number of detected defects has made manual
classification costly and non-manufacturable. Moreover, such manual classification is also susceptible to human
judgment and hence error-prone.
Luminescent's Automated Defect Classification (ADC) offers a complete and systematic approach to defect
disposition and classification. The ADC engine retrieves the high resolution inspection images and uses a decision-tree
flow based on the same criteria human operators use to classify a given defect. Some identification mechanisms adopted
by ADC to characterize defects include defect color in transmitted and reflected images, as well as background pattern
criticality based on pattern topology. In addition, defect severity is computed quantitatively in terms of its size, impacted
CD error, transmission error, defective residue, and contact flux error. The final classification uses a matrix decision
approach to reach the final disposition. In high volume manufacturing mask production, matching rates of greater than
90% have been achieved when compared to operator defect classifications, together with run-rates of 250+ defects
classified per minute. Such automated, consistent and accurate classification scheme not only allows for faster
throughput in defect review operations but also enables the use of higher inspection sensitivity and success rate for
advanced mask productions with aggressive OPC features.
A wafer's printed CD error can be impacted by unaccounted mask making process variation. Unaccounted mask CD
and/or corner rounding alters the intended drawn mask pattern contributing to a wafer's printed CD error. During OPC
wafer calibration, average mask bias and corner rounding are accounted for in the OPC model, but random local mask
making process variations or mask-to-mask variations can be difficult to account in such model calibration. Thus when
a wafer's CD has error, it can be difficult to determine if the general root cause was due to mask or wafer or both. An
in-line monitoring application has been developed to extract accurate mask CD and rendered mask polygon from
collected mask CD-SEM images. Technical information will be presented on the challenges of accurately extracting
information from SEM images. In particular, discussions include SEM image calibration, contour extraction, inverse
pattern rendering, and general image processing to account for mask SEM aberrations (translation, rotation, & dilation),
tool-to-tool variation, vendor-to-vendor variation, run-to-run variation, and dark/bright field pattern-to-pattern variation.
After accurate mask SEM contours are obtained, lithographic simulations are performed on extracted polygon contours
to determine the impact of mask variation on wafer CD. This paper will present detail information about the Inverse
Pattern Rendering (IPR) capabilities developed for a virtual Wafer CD (WCD) application and its results, which is
proven to achieved 0.5 nm accuracy across multiple critical layers from 28 nm to 40 nm nodes on multiple CD-SEM
tools over multiple mask shop locations.
As optical lithography continues to extend into low-k1 regime, resolution of mask patterns under mask inspection optical
conditions continues to diminish. Furthermore, as mask complexity and MEEF has also increased, it requires detecting
even smaller defects in the already narrower pitch mask patterns. This leaves the mask inspection engineer with the
option to either purchase a higher resolution mask inspection tool or increase the detector sensitivity on the existing
inspection system or maybe even both. In order to meet defect sensitivity requirements in critical features of sub-32nm
node designs, increasing sensitivity typically results in increased nuisance (i.e., small sub-specification) defect detection
by 5-20X defects making post-inspection defect review non-manufacturable.
As a solution for automatically dispositioning the increased number of nuisance and real defects detected at higher
inspection sensitivity, Luminescent has successfully extended Inverse Lithography Technology (ILT) and its patented
level-set methods to reconstruct the defective mask from its inspection image, and then perform simulated AIMS
dispositioning on the reconstructed mask. In this technique, named Lithographic Plane Review (LPR), inspection
transmitted and reflected light images of the test (i.e. defect) and reference (i.e., corresponding defect-free) regions are
provided to the "inversion" engine which then computes the corresponding test and reference mask patterns. An essential
input to this engine is a well calibrated model incorporating inspection tool optics, mask processing and 3D effects, and
also the subsequent AIMS tool optics to be able to then simulate the aerial image impact of the defects. This flow is
equivalent to doing an actual AIMS tool measurement of every defect detected during mask inspection, while at the same
time maintaining inspection at high enough resolution. What makes this product usable in mask volume production is the
high degree of accuracy of mask defect reconstruction, predicting actual AIMS measurements to within ±4% CD error
for > 95% of defects while not missing any OOS (out-of-specification) defect and maintaining high simulation
throughput of ≥250 defects/min on Luminescent's distributed computing platform. This technique enables inspection
recipes to be setup based on the sensitivity required to detect small but lithographically-significant defects, even if in the
process a large number of nuisance defects are detected.
LPR is being implemented as an integral part of defect classification for high-volume sub-32nm technology nodes and
higher. Furthermore, this technique will be essential to the lithographic disposition of defects detected on EUV masks
inspected under non-actinic conditions.
As the lithography design rule of IC manufacturing continues to migrate toward more advanced technology nodes, the mask error enhancement factor (MEEF) increases and necessitates the use of aggressive OPC features. These aggressive OPC features pose challenges to reticle inspection due to high false detection, which is time-consuming for defect classification and impacts the throughput of mask manufacturing. Moreover, higher MEEF leads to stricter mask defect capture criteria so that new generation reticle inspection tool is equipped with better detection capability. Hence, mask process induced defects, which were once undetectable, are now detected and results in the increase of total defect count. Therefore, how to review and characterize reticle defects efficiently is becoming more significant.
A new defect review system called ReviewSmart has been developed based on the concept of defect grouping disposition. The review system intelligently bins repeating or similar defects into defect groups and thus allows operators to review massive defects more efficiently. Compared to the conventional defect review method, ReviewSmart not only reduces defect classification time and human judgment error, but also eliminates desensitization that is formerly inevitable. In this study, we attempt to explore the most efficient use of ReviewSmart by evaluating various defect binning conditions. The optimal binning conditions are obtained and have been verified for fidelity qualification through inspection reports (IRs) of production masks. The experiment results help to achieve the best defect classification efficiency when using ReviewSmart in the mask manufacturing and development.
As design rule continues to shrink towards ITRS roadmap requirements, reticle defect capture criteria are becoming ever more challenging. Pattern fidelity and reticle defects that were once perceived as insignificant or nuisance are now becoming a significant considerable yield impacting factor. More defects are also detectable and presented with increase in implementation of new generation reticle inspection systems. Therefore, how to review and characterize defects accurately and efficiently is becoming more significant. In particular, defect classification time often corresponds directly to the cost and the cycle time of mask manufacturing or new technology development.
In this study we introduce a new mask defect review tool called ReviewSmart, which retrieves and processes defect images reported from KLA-Tencor's high sensitivity TeraScan inspection tool. Compared to the traditional defect review method, ReviewSmart provides a much better method to manage defects efficiently by utilizing the concept of defect grouping disposition.
Through the application and qualification results with respectable reticle production cases, the implementation of ReviewSmart has been proven to be effective for reducing defect classification loading and improving defect characterizing efficiency. Moreover, the new review tool is helpful to categorically identify tool or process variations thus allowing users to expedite the learning process for developing production worthy leading node processes.
As the lithography design rule of IC manufacturing industry migrates into sub-130nm nodes, low k1 factor prevails, the mask error enhancement factor (MEEF) increases. Low k1 processing calls for aggressive sub-resolution assist features and the use of attenuated phase shift masks (AttPSMs). The aggressive OPC features pose challenges to reticle inspection due to high false detection, which is time-consuming for defect classification and impacts the throughput of mask manufacturing. Moreover, the high transmission of the shifter material of 193 nm AttPSM also challenges the UV-based reticle inspection tools with high nuisance counts due to undesirable optical diffraction effects. For a given reticle inspection tool, it is necessary to calibrate the system contrast between the clear and opaque regions (quartz/chrome or quartz/MoSi) of the reticles. In this study, we present the influences of various calibration conditions on sensitivity, false and nuisance detection of reticle inspections. Both the STARlight contamination inspections and the die-to-die pattern inspections were carried out using the KLA-Tencor TeraStar inspection tools with production masks and programmed defect test masks including binary intensity masks (BIMs) and AttPSMs. Successful applications with low false detection and adapted sensitivity will be illustrated in terms of optimizing the calibration setup.
The features of optical proximity correction are becoming very aggressive as production technology migrates into 90nm/130 nm regime. The complicated optical proximity correction (OPC) patterns often result in un-repairable defects, a major yield loss mechanisms in a mask production line. Defect control is increasingly important.
A methodology for identifying defect sources and reduction is demonstrated in this paper. The mechanisms and causes of defect formation could be determined with corresponding process step on the strength of sequence inspections. The cause of half-etched opaque defect on negative CAR process was found from PR fragment contamination of e-beam exposure step. After clean-up of e-beam chamber, yield was increased over 20%. Big pinhole defect and contact of AttPSM positive process was found on ADI step. The possible cause was poor CAR adhesion. These two type defects were decreased by modification of developing recipe, special on rinse step. Design experiment with Taguchi method was used to optimize the interactive recipe of plasma descum and rinse step on developing step of implanted layer. Average defect density was decreased from 0.99 to 0.27, and percentage of zero defect rate has been increased from 29.5 to 63.3%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.