Scatterometry performance enhancement is demonstrated through a holistic approach by utilizing comprehensive information from various sources, including data from different process steps, different toolsets, multiple structures, and multiple optical channels using samples from magnetic hard disk drive manufacturing. Parameter and spectrum feed-forward are performed across multiple targets at the photo step and the photo results are fed forward to the post-reactive ion etch (RIE) step. For an isolated structure with critical dimensions (CD) much smaller than the incident light wavelengths, feed-forward methods improve CD correlation with a general improvement of 20 to 60% in precision and fleet measurement precision (FMP). A second technique examined is hybrid metrology, where inputs from source tools, such as CD-SEM and CD-AFM, are used to determine critical parameters. Hybridization of line edge roughness results in CD and sidewall angle (SWA) FMP improvement of ∼60%. We also demonstrate improved CD accuracy using azimuthal scatterometry at 0, 45, and 90 deg azimuth angles measuring resist lines with CD larger than the incident light wavelengths. FMP reductions of ∼60 and 30% are obtained for CD and SWA. SWA hybridization after RIE results in CD and SWA FMP improvements by >50 and 30%, respectively.
Optical critical dimension (OCD) metrology using scatterometry has been widely adopted for fast and non-destructive in-line process control and yield improvement. Recently there has been increased interest in metrology performance enhancement through a holistic approach. We investigate the benefits of feed-forward of metrology information from prior process steps using samples from magnetic hard disk drive manufacturing. The scatterometry targets are composed of rather isolated gratings that are designed to have better correlation with device features. Two gratings, one with pitch ≈ 10CD, and the other with pitch ≈ 15CD, are measured at post develop and post reactive ion etch (RIE) steps. Two methods: parameter feed-forward (PFF) and spectrum feedforward (SFF) are studied in which the measurement results or spectrum collected on the blanket target at photo step are fed forward to the measurements on the grating structures at post develop or post RIE step. Compared with standard measurement without FF, for the more isolated grating at photo step, both PFF and SFF improve CD correlation from R2=0.96 to R2=0.975 using CD-SEM results measured on device as the reference. Dynamic precision and fleet measurement precision are improved by 20-60%. For post RIE step, PFF and SFF significantly improve CD correlation from R2=0.95, slope=1.09 to R2=0.975, slope=1.03 for the denser grating, and from R2=0.90, slope=0.79 to R2=0.96, slope=0.96 for the more isolated grating. Dynamic precision is generally improved by 20-40%. It is observed that both PFF and SFF are equally efficient in reducing parameter correlation for the application studied here.
Reducing parameter correlations to enhance scatterometry measurement accuracy, precision and tool matching is a crucial component of every modeling effort. Parameter sensitivity can largely depend on the orientation of the plane of incidence relative to the grating orientation. Conventional scatterometry is done with the plane if incidence normal to the grating orientation, whereas azimuthal scatterometry allows measurements at an arbitrary angle or set of angles. A second technique examined in this paper is hybrid metrology where inputs from source tools such as CD-SEM and CD-AFM are used to determine values of critical parameters. The first examples shows LER sensitivity gains by measuring narrow resist lines in an orientation parallel with the long axis of the grating. Hybridization of LER results in a CD and SWA FMP improvement of about 60%. We also showcase the benefits of azimuthal scatterometry measuring resist lines with CD larger than the wavelengths of the incident light. A CD and SWA FMP reduction of about 60% and 30% is obtained using azimuthal scatterometry at 0, 45 and 90 degrees azimuth angles. Hybridization of the ARC SWA after RIE results in CD and resist SWA FMP improvements by over 60% and 30%, respectively.
In this work, we report metrology solutions using scatterometry Optical Critical Dimension (OCD)
characterization on two advanced CMOS devices: novel n-channel gate-last In0.53Ga0.47As FinFET with
self-aligned Molybdenum (Mo) contacts and p-channel Ge FinFET formed on Germanium-on-Insulator
(GOI) substrate. Key critical process steps during the fabrication of these advanced transistors were
identified for process monitor using scatterometry OCD measurement to improve final yield. Excellent
correlation with reference metrology and high measurement precision were achieved by using OCD
characterization, confirming scatterometry OCD as a promising metrology technique for next generation
device applications. In addition, we also further explore OCD characterization using normal incidence
spectroscopic reflectometry (SR), oblique incidence spectroscopic ellipsometry (SE), and combined SR+SE
technologies. The combined SR+SE approach was found to provide better precision.
CD and shape control of extreme ultraviolet lithography (EUVL) structures is critical to ensure patterning performance at the 10 nm technology node and beyond. The optimum focus/dose control by EUV scanner is critical for CD uniformity, and the scanner depends on reliable and rapid metrology feedback to maintain control. The latest advances in scatterometry such as ellipsometry (SE), reflectometry (NISR), and Mueller matrix (MM) offers complete pattern profile, critical dimensions (CD), side-wall angles, and dimensional characterization. In this study, we will present the evaluation results of CD uniformity and focus dose sensitivity of line and space EUV structures at the limit of current ASML NXE 3100 scanner printability and complex 3D EUV structures. The results will include static and dynamic precision and CD-SEM correlation data.
Optical critical dimension (OCD) metrology using scatterometry has been demonstrated to be a viable solution for fast and non-destructive in-line process control and monitoring. As extreme ultraviolet lithography (EUVL) is more widely adopted to fabricate smaller and smaller patterns for electronic devices, scatterometry faces new challenges due to several reasons. For 14nm node and beyond, the feature size is nearly an order of magnitude smaller than the shortest wavelength used in scatterometry. In addition, thinner resist layer is used in EUVL compared with conventional lithography, which leads to reduced measurement sensitivity. Despite these difficulties, tolerance has reduced for smaller feature size. In this work we evaluate 3D capability of scatterometry for EUV process using spectroscopic ellipsometry (SE). Three types of structures, contact holes, tip-to-tip, and tip-to-edge, are studied to test CD and end-gap metrology capabilities. The wafer is processed with focus and exposure matrix. Good correlations to CD-SEM results are achieved and good dynamic precision is obtained for all the key parameters. In addition, the fit to process provides an independent method to evaluate data quality from different metrology tools such as OCD and CDSEM. We demonstrate 3D capabilities of scatterometry OCD metrology for EUVL using spectroscopic ellipsometry, which provides valuable in-line metrology for CD and end-gap control in electronic circuit fabrications.
KEYWORDS: Germanium, Scatterometry, Transmission electron microscopy, Scanning electron microscopy, Transistors, Metrology, Etching, Front end of line, 3D modeling
In this work, we report the first demonstration of scatterometry Optical Critical Dimension (OCD) characterization on advanced Ge Multi-Gate Field-Effect Transistor (MuGFET) or FinFET formed on a Germanium-on-Insulator (GeOI) substrate. Two critical process steps in the Ge MuGFET process flow were investigated, i.e. after Ge Fin formation, and after TaN gate stack etching process. All key process variations in the test structures were successfully monitored by the floating or fitting parameters in the OCD models. In addition, excellent static repeatability, with 3σ lower than 0.12 nm, was also achieved. The measurement results from OCD were also compared with both Scanning Electron Microscopy (SEM) and Transmission Electron Microscopy (TEM) measurements. Excellent correlation with both SEM and TEM was achieved by employing OCD characterization, confirming scatterometry OCD as a promising metrology technique for next generation multi-gate transistor with an advanced channel material.
We evaluate diffraction-based overlay (DBO) metrology using two test wafers. The test wafers have different
film stacks designed to test the quality of DBO data under a range of film conditions. We present DBO results using
traditional empirical approach (eDBO). eDBO relies on linear response of the reflectance with respect to the overlay
displacement within a small range. It requires specially designed targets that consist of multiple pads with programmed
shifts. It offers convenience of quick recipe setup since there is no need to establish a model. We measure five DBO
targets designed with different pitches and programmed shifts. The correlations of five eDBO targets and the correlation
of eDBO to image-based overlay are excellent. The targets of 800nm and 600nm pitches have better dynamic precision
than targets of 400nm pitch, which agrees with simulated results on signal/noise ratio. 3σ of less than 0.1nm is achieved
for both wafers using the best configured targets. We further investigate the linearity assumption of eDBO algorithm.
Simulation results indicate that as the pitch of DBO targets gets smaller, the nonlinearity error, i.e., the error in the
overlay measurement results caused by deviation from ideal linear response, becomes bigger. We propose a nonlinearity
correction (NLC) by including higher order terms in the optical response. The new algorithm with NLC improves
measurement consistency for DBO targets of same pitch but different programmed shift, due to improved accuracy. The
results from targets with different pitches, however, are improved marginally, indicating the presence of other error
sources.
KEYWORDS: Semiconducting wafers, Overlay metrology, 3D acquisition, 3D metrology, Finite element methods, Diffraction gratings, Time metrology, Spectroscopy, Reflectance spectroscopy, 3D modeling
Diffraction-based overlay (DBO) technologies have been developed to address the overlay metrology
challenges for 22nm technology node and beyond. Most DBO technologies require specially designed targets that
consist of multiple measurement pads, which consume too much space and increase measurement time. The traditional
empirical approach (eDBO) using normal incidence spectroscopic reflectometry (NISR) relies on linear response of the
reflectance with respect to overlay displacement within a small range. It offers convenience of quick recipe setup since
there is no need to establish a model. However it requires three or four pads per direction (x or y) which adds burden to
throughput and target size. Recent advances in modeling capability and computation power enabled mDBO, which
allows overlay measurement with reduced number of pads, thus reducing measurement time and DBO target space. In
this paper we evaluate the performance of single pad mDBO measurements using two 3D targets that have different
grating shapes: squares in boxes and L-shapes in boxes. Good overlay sensitivities are observed for both targets. The
correlation to programmed shifts and image-based overlay (IBO) is excellent. Despite the difference in shapes, the
mDBO results are comparable for square and L-shape targets. The impact of process variations on overlay measurements
is studied using a focus and exposure matrix (FEM) wafer. Although the FEM wafer has larger process variations, the
correlation of mDBO results with IBO measurements is as good as the normal process wafer. We demonstrate the
feasibility of single pad DBO measurements with faster throughput and smaller target size, which is particularly
important in high volume manufacturing environment.
Resolution enhancement techniques such as double patterning (DP) processes are implemented to achieve
lower critical dimension (CD) control tolerances. However the design complications, overlay resulting
from multiple exposures, and production cost limit the DP usage. EUVL offers the most promising
patterning technology to be adopted for 14nm and beyond due to simplicity and cost advantage estimates.
However, EUVL is also prone to number of patterning challenges that are unique to EUV, such as
orientation dependent pattern placement errors resulting from mask shadowing effect, flare(leads to CD
non-uniformity) and non-flatness (leads to overlay errors). Even though the shadowing effects can be
corrected by means of OPC and mask stack design, there is a need to monitor the systemic errors due to HV
bias in order to control the lithographic process. In this paper, we will report the measurement sensitivity
of EUVL targets (CD, height and sidewall angle), systemic CD errors (H-V bias) and feedback for OPC
correction by scatterometry. We will also report the measurement precision, accuracy and matching for
EUV structures.
Spacer defined double patterning processes consists of multiple deposition, post strips and etch steps and is
inherently susceptible to the cumulative effects of defects from each process step leading to higher rate of
defect detection. CD distortions and CD non-uniformity leads to DPT overlay errors. This demands
improved critical dimension uniformity (CDU) and overlay control. Scatterometry technique enables the
characterization and control the CD uniformity and provision to monitor stepper and scanner characteristics
such as focus and dose control. While CDSEM is capable of characterizing CD and sidewall angle, is not
adequate to resolve shape variations, such as footing and top rounding and spacers with leaning angles,
during the intermediate process steps. We will characterize direct low temperature oxide deposition on
resist spacer with fewer core films and reduced number of processing and metrology control steps.
Metrology characterization of SADP and resist core transferred spacers at various process steps will be
performed by scatterometry using spectroscopic ellipsometry and reflectometry. We will present CD
distribution (CDU) and profile characterization for core formation, spacer deposition and etch by advanced
optical scatterometry and also validate against CDSEM.
As the dimensions of integrated circuit continue to shrink, diffraction based overlay (DBO) technologies have
been developed to address the tighter overlay control challenges. Previously data of high accuracy and high precision
were reported for litho-etch-litho-etch double patterning (DP) process using normal incidence spectroscopic
reflectometry on specially designed targets composed of 1D gratings in x and y directions. Two measurement methods,
empirical algorithm (eDBO) using four pads per direction (2x4 target) and modeling based algorithm (mDBO) using two
pads per direction (2x2 target) were performed. In this work, we apply DBO techniques to measure overlay errors for a
different DP process, litho-freeze-litho-etch process. We explore the possibility of further reducing number of pads in a
DBO target using mDBO. For standard targets composed of 1D gratings, we reported results for eDBO 2x4 targets,
mDBO 2x2 targets, and mDBO 2x1 target. The results of all three types of targets are comparable in terms of accuracy,
dynamic precision, and TIS. TMU (not including tool matching) is less than 0.1nm. In addition, we investigated the
possibility of measuring overlay with one single pad that contains 2D gratings. We achieved good correlation to blossom
measurements. TMU (not including tool matching) is ~ 0.2nm. To our best knowledge, this is the first time that DBO
results are reported on a single pad. eDBO allows quick recipe setup but takes more space and measurement time.
Although mDBO needs details of optical properties and modeling, it offers smaller total target size and much faster
throughput, which is important in high volume manufacturing environment.
Double patterning technology overlay errors result in critical dimension (CD) distortions, and CD nonuniformity leads to overlay errors, demanding increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are used to characterize the CD uniformity, focus, and dose control. We present CDU and profile characterization for spacer double patterning structures by advanced scatterometry methods. Our results include normal incidence spectroscopic reflectometry (NISR) and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer double patterning stacks. We further show the results of spacer DP structures by NISR and SE measurements. Metrology comparisons at various process steps including litho, etch, and spacer, and validation of CDU and profile, are all benchmarked against traditional critical dimension scanning electron microscope measurements.
Scatterometry has been used extensively for the characterization of critical dimensions (CDs) and detailed sidewall profiles of periodic structures in microelectronics fabrication processes. In most cases devices are designed to be symmetric, although errors could occur during the fabrication process and result in undesired asymmetry. Conventional optical scatterometry techniques have difficulties distinguishing between left and right asymmetries. We investigate the possibility of measuring grating asymmetry with Mueller matrix spectroscopic ellipsometry (MM-SE) for a patterned hard disk sample prepared by a nanoimprint technique. The relief image on the disk sometimes has an asymmetrical sidewall profile, presumably due to the uneven separation of the template from the disk. Cross section SEM reveals that asymmetrical resist lines are typically tilted toward the outer diameter direction. Simulation and experimental data show that certain Mueller matrix elements are proportional to the direction and amplitude of profile asymmetry, providing a direct indication to the sidewall tilting. The tilting parameter can be extracted using rigorous optical critical dimension (OCD) modeling or calibration methods. We demonstrate that this technique has good sensitivity for measuring and distinguishing left and right asymmetry caused by sidewall tilting, and can therefore be used for monitoring processes for which symmetric structures are desired.
DPT overlay errors result in CD distortions and CD non-uniformity leads to overlay errors demanding
increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are
used to characterize the CD uniformity, focus and dose control. We will present CD distribution (CDU) and
profile characterization for spacer double patterning structures by advanced scatterometry methods. Our
result will include NISR, and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer
double patterning stack. We will further show the results of spacer DP structures by NISR and SE
measurements. Metrology comparison at various process steps including litho, etch and spacer and
validation of CDU and profile; all benchmarked against traditional CDSEM measurements.
Diffraction based overlay (DBO) technologies have been developed to address the tighter overlay control
challenges as the dimensions of integrated circuit continue to shrink. Several studies published recently have
demonstrated that the performance of DBO technologies has the potential to meet the overlay metrology budget for
22nm technology node. However, several hurdles must be cleared before DBO can be used in production. One of the
major hurdles is that most DBO technologies require specially designed targets that consist of multiple measurement
pads, which consume too much space and increase measurement time. A more advanced spectroscopic ellipsometry (SE)
technology-Mueller Matrix SE (MM-SE) is developed to address the challenge. We use a double patterning sample to
demonstrate the potential of MM-SE as a DBO candidate. Sample matrix (the matrix that describes the effects of the
sample on the incident optical beam) obtained from MM-SE contains up to 16 elements. We show that the Mueller
elements from the off-diagonal 2x2 blocks respond to overlay linearly and are zero when overlay errors are absent. This
superior property enables empirical DBO (eDBO) using two pads per direction. Furthermore, the rich information in
Mueller matrix and its direct response to overlay make it feasible to extract overlay errors from only one pad per
direction using modeling approach (mDBO). We here present the Mueller overlay results using both eDBO and mDBO and compare the results with image-based overlay (IBO) and CD-SEM results. We also report the tool induced shifts (TIS) and dynamic repeatability.
Patterned media is expected to be implemented in future generations of hard disk drives to provide data storage at
densities exceeding 1012 bits/in2 and beyond. The implementation of patterned media, which would involve developing
processing methods to offer high resolution (small bits), regular patterns, and high density, has posed a number of
metrology challenges. Optical Critical Dimension (OCD) is the leading candidate to overcome the metrology challenges
for patterned media. This paper presents the successful OCD measurements on the critical dimensions, sidewall-angles,
and detailed sidewall shape of gratings of quartz template and imprint disk with pitch as small as 57nm.
Scatterometry has been used extensively for the characterization of critical dimensions (CD) and detailed
sidewall profiles of periodic structures in microelectronics fabrication processes. So far the majority of applications are
for symmetric gratings. In most cases devices are designed to be symmetric although errors could occur during
fabrication process and result in undesired asymmetry. The problem with conventional optical scatterometry techniques
lies in the lack of capability to distinguish between left and right asymmetries. In this work we investigate the possibility
of measuring grating asymmetry using Mueller matrix spectroscopic ellipsometry (MM-SE). A patterned hard disk
prepared by nano-imprint technique is used for the study. The relief image on the disk sometimes has asymmetrical
sidewall profile, presumably due to the uneven separation of the template from the disk. The undesired tilting resist
profile causes difficulties to the downstream processes or even makes them fail. Cross-section SEM reveals that the
asymmetrical resist lines are typically tilted towards the outer diameter direction. The simulation and experimental data
show that certain Mueller matrix elements are proportional to the direction and amplitude of profile asymmetry,
providing a direct indication to the sidewall tilting. The tilting parameter can be extracted using rigorous optical critical
dimension (OCD) modeling or calibration method. We demonstrate that this technique has good sensitivity for
measuring and distinguishing left and right asymmetry caused by sidewall tilting, and can therefore be used for
monitoring processes, such as lithography and etch processing, for which symmetric structures are desired.
This article describes an Uncertainty & Sensitivity Analysis package, a mathematical tool that can be an
effective time-shortcut for optimizing OCD models. By including real system noises in the model, an accurate method
for predicting measurements uncertainties is shown. The assessment, in an early stage, of the uncertainties, sensitivities
and correlations of the parameters to be measured drives the user in the optimization of the OCD measurement strategy.
Real examples are discussed revealing common pitfalls like hidden correlations and simulation results are compared
with real measurements. Special emphasis is given to 2 different cases: 1) the optimization of the data set of multi-head
metrology tools (NI-OCD, SE-OCD), 2) the optimization of the azimuth measurement angle in SE-OCD. With the
uncertainty and sensitivity analysis result, the right data set and measurement mode (NI-OCD, SE-OCD or NI+SE OCD)
can be easily selected to achieve the best OCD model performance.
KEYWORDS: Data modeling, 3D modeling, Scatterometry, Calibration, Optical proximity correction, 3D metrology, Critical dimension metrology, Lithography, Line edge roughness, Cadmium
The ability to manage critical dimensions (CDs) of structures on IC devices is vital to improving product yield
and performance. It is challenging to achieve accurate metrology data as the geometries shrink beyond 40 nm features.
At this technology node CDSEM noise and resist LER are of significant concerns1.
This paper examines the extendibility of scatterometry techniques to characterize structures that are close to limits of
lithographic printing and to extract full profile information for 2D and 3D features for OPC model calibration2. The resist
LER concerns are diminished because of the automatic averaging that scatterometry provides over the measurement pad;
this represents a significant added value for proper OPC model calibration and verification. This work develops a
comparison matrix to determine the impact of scatterometry data on OPC model calibration with conventional CDSEM
measurements. The paper will report test results for the OPC model through process data for accuracy and predictability.
As the on-wafer transistor sizes shrink, and gate nodes run well below 90 nm, it is becoming extremely important to accurately characterize and control the CDs on the Mask. Since Phase shift technology for masks is essential to achieve the geometries of the future, CD and profile metrology on the phase shifting materials becomes critical. Phase shift materials, such as MoSi, present unique challenges for metrology. In this paper, we discuss the effect of the optical properties of MoSi on CD and profile metrology and the challenges in obtaining the correct optical constants needed for accurate metrology. Optical Scatterometry based metrology was used successfully with both Spectroscopic Ellipsometry (SE; λ~ 210nm-1000nm) and Spectroscopic Polarized Reflectometry (Rp; λ: 320nm-780nm). Spectra were collected with Nanometrics' Atlas-M reticle measurement system and were analyzed using ODP software from Timbre Technologies, Inc. Unlike chrome, the optical properties of the MoSi on the grating structure differ significantly from that on the rest of the blanket area of the mask. Unique modeling techniques are required to account for this difference. Etching of the chrome also causes changes in the MoSi top layer that need to be accounted. Data will be presented showing the sensitivities of the CD structures on the mask to variations of Quartz and MoSi optical constants. CD and profile sensitivities to roughness of the MoSi grating structure are also demonstrated.
KEYWORDS: Semiconducting wafers, Silicon, Critical dimension metrology, Scanning electron microscopy, Atomic force microscopy, Process control, Time metrology, Reflectivity, Metrology, Etching
Deep silicon trench technology is essential for fabricating vertical microelectronic devices, which is gaining increasing popularity due to the ever shrinking device dimensions. The control of critical dimensions (CD) and sidewall profiles of silicon trenches is critical to the device performance and production yield, posing a need for in-line metrology for process control. The widely used cross-section scanning electron microscopy (X-SEM) is not suitable for in-line monitoring because of its destructive nature and long turn-around measurement time. Alternative techniques, for example, atomic force microscopy (AFM), are constrained by the aspect ratio of the trench and limited by throughput. In this paper we report results of trench profile measurement using a non-destructive Optical Critical Dimension (OCD) technique. In this technique, trench profile parameters are measured using normal incidence spectroscopic ellipsometry. We studied various silicon trenches with depths between 1 and 2.5 um and pitches from 1 to 4 um. Spectral simulation and profile comparison between OCD and X-SEM/AFM reveal that OCD has great sensitivity to deep silicon trench profile features (CD, trench depth and side wall angle), providing a viable metrology solution for real time process control.
A spectroscopic, diffraction based technique is proposed in this paper as an alternative solution for overlay metrology in technology nodes below 90 nanometers. This novel technique extracts alignment error from broadband diffraction efficiency of specially designed diffraction targets in real-time. Feasibility of the technique is studied for a front-end process flow by measuring grating targets printed on a series of wafers which were intentionally mis-processed to introduce inter-die (grid) level programmed overlay errors. Correlation to conventional imaging overlay measurements is demonstrated. Short term and long term data sets demonstrate sub-half-nanometer in 3-sigma statistical parameters that characterize the diffraction overlay system, repeatability, reproducibility, Tool-Induced-Shift and tool-to-tool matching. The resulting total measurement uncertainty for this technique is thus demonstrated to be in the sub-nanometer range.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.