Metal oxide resists (MORs) have become one of the most attractive photoresist platforms that allow for high resolution and etch bias of small features while having a robust lithography performance. In this work, we present our study about improving line fidelity and reducing the dose of MOR for line space EUV lithography by applying spin-on underlayers (ULs). It is known that MOR patterning is induced by the activation during exposure and condensation of the active sites. Herein, we discuss the influence of ULs on MOR performance. A series of ULs with various chemistry, thickness, or process conditions were screened with MOR using NXE3400 EUV exposure system to print 14-nm HP line-space features. The results show that the nanobridges and scum can be alleviated, while the remaining resist thickness after development can be improved by up to 20% along with 5 to 10% dose reductions. A comprehensive assessment of the ULs encompassing various chemistries examines coating quality, uniformity, and surface energy. The discussion delves into the correlation between the surface properties including morphology, interaction, etc., and their respective impacts on lithography performance. Lastly, some spin-on ULs produce up to 75% reduction of metal diffusion from the MOR into the underlying layers.
Spin-on glass (SOG) underlayers to enhance extreme ultraviolet (EUV) lithography for patterning below 28-nm pitch require an in-depth understanding of the required adhesion forces necessary for good lithography. Here, we proposed a fundamentally new SOG underlayer platform composed of polymer blends that can achieve superior uniformity to improve line fidelity and provide a design path for underlayer materials. The structure and property of elemental composition and surface energy can be controlled easily and precisely by varying the combination of polymer, and consequently understanding and tuning the lithographic performance. The lithographic performance of SOG blends was evaluated using NXE3400 EUV exposure system to print 13-nm and 14-nm HP line-space features and contact hole features with CD of 20-nm. The results show that the polymer blends expand the process window for EUV resist for line-space with printable CD >11 nm and biased LWR to 3.6-nm without impact on dose when compared to conventional copolymers. In the case of CH patterning, CDU and DOF improvements were observed when compared to conventional SOG copolymers. Systematic studies on polymer blends based on functional groups and formulation compositions are in progress to establish a better understanding of enhancement of EUV lithography.
In EUV lithography, good resist patterning requires an assist layer beneath it to provide adhesion to prevent pattern collapse of small features and allow for higher aspect ratios. In addition, future EUV high numerical aperture (NA) is expected to require a decrease in thickness from the overall patterning stack. In this study, we are exploring a fundamentally new approach to developing an alternative and cost-effective underlayer to functionalize surfaces and enable EUV patterning. Rather than forming a 5-nm polymer film between the resist and its substrate, we propose to modify the substrate by spin-coating a thinner layer. In contrast to conventional underlayers (5–10 nm), the substrate is modified by a sub-1-nm layer during baking. Comprehensive analysis of the surface modification and coating was conducted by GPC, ellipsometer, and contact angle to identify the structure, stability, coating quality, and surface energy. Lithographic performance of existing EUV resist with the assist of this thin layer on Si wafers and different silicon hardmasks was evaluated using NXE3400 EUV exposure system to print HP14nm line-space features. It has been demonstrated that this sub-1-nm layer is able to realize HP14nm with a wider process window, higher depth of focus, and lower LWR on a Si wafer. Moreover, a silicon hardmask that could not realize printable features had significantly improved lithographic performance with the assist of this layer. Comparisons were also made with the industry-standard HMDS priming. Systematic analysis indicates that a sub-1-nm layer exemplifies a novel and effective way to enhance photoresist-substrate compatibility and improve EUV lithographic performance.
Stochasticity is a major contributor to the resolution limit of fine mechanics and optical imaging, which is also an obstacle for achieving cutting edge EUV lithography performance. The root cause of stochasticity comes from the pattern edge random variation within the resist after exposure due to low contrast. High substrate adhesion is also very fatal as it further aggravates the variation at the substrate due to increased interaction, leading to stochastic failures. In this paper, Stochastic Area Thickness (SAT) and Dynamic Stochastic Area Thickness (DSAT) are used to evaluate the stochastic interactions. High optical foot exposure is proposed instead of conventional low substrate reflectivity to reduce SAT. Adhesion control by acid/quencher loading is proposed to minimize the stochastic interaction between resist and substrate.
Photoresist metrics such as resolution, roughness, CD uniformity, and overall process window are often aimed to realize the full potential of EUV lithography. From the view of the materials supplier, improvements over the aforementioned metrics can be achieved by optimizing the functional materials used under the resist. The underlayers can significantly enhance the resist performance by providing appropriate adhesive forces to the patterned features, counterbalancing the tendency of pattern collapse, and improving the resist/substrate compatibility. In this study, we introduce spin-on-glass (SOG) underlayers that can provide adhesion to resist through different mechanisms, including covalent bonding, hydrogen bonding, and Van der Waals force. The underlayers were characterized in depth to understand their coating quality, adhesion to resist, and surface energy. The EUV lithographic performance was evaluated by applying chemically amplified resists (CARs) directly onto these SOGs for line/space features at the target pitch sizes of 30 nm and 28 nm. The lithographic evaluation indicates that the patterning performance strongly depends on resist/underlayer adhesion. By appropriately adjusting the resist/underlayer adhesion, we can realize 28 nm pitch printing with defect-free depth of focus larger than 300 nm and unbiased line-width roughness around 2.2 nm. The plasma etch rate of the SOGs were also evaluated to assess their pattern transfer performance.
Measuring properties of ultrathin optical films is based on optical interference. Ultrathin films are very challenging to test, because their thicknesses are far smaller than the measuring wavelength, so very little phase shift can be detected. In this work, test sensitivity and accuracy are improved by a rigorous algorithm in which all unknowns {n,k,t} in their full space are fit together without approximations and presumptions. As a result, a software for variable-angle spectroscopic ellipsometer (VASE) data fitting was developed. It gives very reliable ultrathin-film measurement down to 2.5 nanometers. The software not only improves the reliability, accuracy of {n,k,t} measurement, but it also extends VASE capabilities to characterize a film’s optical quality.
Silicon hardmask (Si-HM) materials used in lithography processes play a critical role in transferring patterns to desired substrates. In addition, these materials allow for the tuning of optical properties such as reflectivity and optical distribution for better lithography. Si-HM materials also need to possess good compatibility with photoresists before and after optical exposure, during which the photoresist in the exposed area may change polarity. Therefore, Si-HM materials may benefit from adaptive or amphiphilic capabilities to keep both exposed and unexposed photoresist compatible with the substrate. In this work, we will demonstrate that Si-HM surfaces may be adaptive or amphiphilic through both experiments and computer simulation. Specifically, we will demonstrate that the functional groups (polar and nonpolar) at the Si-HM surface may be switchable, and the surface will be dictated by the environment to which the Si-HM is exposed. Knowing the adaptive capability of Si-HM materials will greatly facilitate the development of better underlayer materials for improved lithography.
Figure would be provided
Figure 1 shows that the most important factor in lithography is foot contrast. Low contrast leaves a large region (CAT) where resist solubility is uncertain (chaotic area), which causes unresolvable patterns, LER/LWR issues, and pattern collapse (collapse may be due to affinity imbalance).
Figure 2 shows examples of a CAT plot for two stacks of low optical reflectivity and high optical foot contrast. Low reflectivity gives higher CAT, and is further deteriorated as the image approaches the forbidden pitch, while a high foot contrast stack keeps good CAT value across all pitches. Experimental results agree well with the calculations, which will be included in the full paper.
Figure 3 is a CAT contour map versus resist and Si-HM thickness for a L/P=40/100 nm pattern. Additional CAT plots will be included in the full paper.
Figure 1. Optical distribution of a 40-nm dense line cross-section and resist contrast curve for CAT calculation.
Figure 2. CAT plots comparing two stacks, low reflectivity and high foot contrast
Figure 3. CAT contour map versus resist and Si-HM thickness.
Traditional implant layers are becoming increasingly complex in design and continuously pushing
resolution limits lower. In response, developer-soluble bottom anti-reflective coatings (DBARCs) were
introduced to meet these more challenging requirements. These DBARCs excelled over the traditional
combination of single-layer resist and dyed resist/top anti-reflective coating (TARC). DBARCs offered the
resolution and critical dimension (CD) control needed for the increasingly critical implant layers.
Lithographic performance, focusing on CD control over topography and through-pitch behavior,
demonstrated the inherent benefit of the DBARCs over the alternative solutions. Small-space residue
testing showed the benefit of photosensitive (PS) DBARCs for cleanout of sub-100 nm trenches. A study
of improved post-develop residue in various ion-implantation processes validated the use of new DBARC
materials in implant layers.
Developer-soluble bottom anti-reflective coating (DBARC) BSI.W09008 has provided promising lithography results
with five different 193-nm photoresists, with the accomplishments including 120-nm L/S (1:1) and 130-nm L/S
through-pitch (i.e., 1:1, 1:3, and isolated line). This DBARC is not inherently light sensitive and depends on diffusing
photoacid from the exposed photoresist for development. With undercutting being an issue for the PAG-less DBARC
with some resists, the shapes of 130-nm lines (both dense and isolated) were improved by either a) incorporating a small
amount of a base additive in the BSI.W09008 formulation or b) altering the structure of the DBARC's binder polymer.
With selected photoresist(s) and/or resist processing conditions, either photoacid diffusion or photoacid activity is
inadequate to give DBARC clearance and BSI.W09008 performs more as a dry BARC. The post-development residue
obtained from BSI.W09008 on a silicon substrate is much less dependent on the initial DBARC film thickness and the
exposure dose than for earlier-generation photosensitive (PS)-DBARC BSI.W07327A, using the same photoresist.
BSI.W09008 also gives less post-development residue than BSI.W07327A using the same resist on a silicon nitride
substrate at exposure doses of 14-25 mJ/cm2.
As the semiconductor industry approaches smaller and smaller features, applications that previously used top antireflective
coatings have now begun using developer-soluble bottom anti-reflective coatings (BARCs). However, there
are several drawbacks to a wholly developer-soluble system, mainly because many of these systems exhibit isotropic
development, which makes through-pitch and topography performance unsatisfactory. To solve this problem, we have
developed several photosensitive BARC (PS BARC) systems that achieve anisotropic development. One issue with the
PS BARC, as with traditional dry BARCs, is resist compatibility. This effect is compounded with the photosensitive
nature of our materials. The acid diffusion and quenching nature of the resists has been shown to have a significant
effect on the performance of the acid-sensitive PS BARC. Some resists contain a highly diffusive acid that travels to the
PS BARC during the post-exposure bake and aids in clearance. Others show the opposite effect, and the same PS BARC
formulation is not able to clear completely. To address the lack of compatibility and to further improve the PS BARC,
we have developed a solution that properly matches PS BARC and photoresist performance.
In a search for improved resolution and processing latitude for a family of light-sensitive developer-soluble bottom antireflective
coatings (BARCs), the structure of the binder terpolymer was altered by incorporating acid-cleavable
adamantyl methacrylates. Contrast curves and 193-nm microlithography were then used as tools in developing a novel
developer-soluble adamantyl BARC which does not include a photoacid generator (PAG) or quencher, but instead
depends on acid diffusing from the exposed resist for development. This formulation eliminates concern about PAG or
quencher leaching out of the BARC during application of the photoresist. Resolution for a resist A and the new BARC
was 150-nm L/S (1:1) for both 38-nm and 54- to 55-nm BARC thicknesses. Resolution and line shape were comparable
to that of the non-adamantyl control BARC with same resist at 55-nm BARC thickness, with both BARCs giving some
undercutting using an AmphibianTM XIS interferometer for the 193-nm exposures. Light-sensitive adamantyl BARCs
that do require inclusion of a PAG for optimum lithography with resist A are also described in this paper. The series of
developer-soluble adamantyl BARCs were solution and spin-bowl compatible. The 193-nm optical parameters (n and
k) for all adamantyl BARCs were 1.7 and 0.5-0.6, respectively.
A photosensitive developer-soluble bottom anti-reflective coating (DBARC) system is described for KrF and ArF lithographic applications. The system contains an acid-degradable branched polymer that is self-crosslinked into a polymeric film after spin coating and baking at high temperature, rendering a solvent-insoluble coating. The DBARC coating is tunable in terms having the appropriate light absorption (k value) and thickness for desirable reflection control. After the exposure of the resist, the DBARC layer decrosslinks into developer-soluble small molecules in the presence of photoacid generator (PAG). Thus the DBARC layer is removed simultaneously with the photoresist in the development process, instead of being etched away in a plasma-etching chamber in the case of traditional BARC layers. The etch budget is significantly improved so that a thin resist can be used for better resolution. Alternatively, the etch step can be omitted in the case of the formation of layers that may be damaged by exposure to plasma.
A family of dye-filled developer-soluble bottom anti-reflective coatings (BARCs) has been developed for use in 193-nm
microlithography. This new dye-filled chemical platform easily provides products covering a wide range of optical
properties. The light-sensitive and positive-working BARCs use a transparent polymeric binder and a polymeric dye in
a thermally crosslinking formulation, with the cured products then being photochemically decrosslinked prior to
development. The cured BARC films are imaged and removed with developer in the same steps as the covering
photoresist. Two dye-filled BARCs with differing optical properties were developed via a series of DOEs and then used
as a dual-layer BARC stack. Lithography with this BARC stack, using a 193-nm resist, gave 150-nm L/S (1:1). A
193-nm dual-layer BARC stack (gradient optical properties) from the well-established dye-attached family of light-sensitive
BARCs also gave 150-nm L/S (1:1) with the same resist. However, the latter provided much improved line
shape with no scumming. The targeted application for light-sensitive dual-layer BARCs is high-numerical aperture
(NA) immersion lithography where a single-layer BARC will not afford the requisite reflection control.
New bottom anti-reflective coatings (BARCs) have been developed that can be incorporated into multiple patterning
schemes utilizing scanner-track-only processes. The BARCs have modifiable optical properties and can be removed
during the resist development step. Several dual patterning schemes were investigated for trench printing. The most
promising process produced 110 nm trenches with approximately 1:1 space ratios. The etch characteristics of these
BARCs under fluorinated and oxygenated gases were determined.
A novel approach to developer-soluble bottom anti-reflective coatings (BARCs) for 248-nm lithography
was demonstrated. The BARC formulations are photosensitive, dye-filled systems incorporated with a
polymer binder. The films are generated by thermally crosslinking the polymer matrix, and are then
photochemically decrosslinked in order to render them soluble in developer solutions. The BARCs are
compatible with solvents commonly used in the industry. Easy modification of the films with regard to
optical properties for potential use with various substrates was also demonstrated. The BARCs exhibit
anisotropic development in aqueous tetramethylammonium hydroxide (TMAH) solutions subsequent to
simulated photoresist application, exposure, and post-exposure bake.
This paper describes the chemistry and performance of a new family of wet-developable (wet) bottom anti-reflective coatings (BARCs) that have been developed for 193-nm implant layer applications. These BARCs, which are light sensitive and positive working, are imaged and developed in the same steps as the covering 193-nm photoresist. The BARCs are spin coated from organic solvents and then insolubilized during a hot plate bake step. The resulting cured films exhibit minimal solubility in numerous organic solvents. Resolution of a photoresist A and light-sensitive BARC I at optimum exposure (Eop) on a silicon substrate was 150-nm L/S (1:1), with good sidewall angle and no scumming. These best-case results utilize a first reflectivity minimum BARC thickness and meet the desired resolution goals for noncritical implant layers. BARC optical parameters can easily be adjusted by altering the polymeric binder. PROLITHTM modeling shows that near zero reflectance can be achieved on a silicon substrate for both a first and a second reflectivity minimum BARC thickness. The light-sensitive, wet BARCs are both spin-bowl and solution compatible with most industry standard solvents. A selected BARC from this family of wet products was shown to be stable, providing reproducible film properties over several months of ambient storage conditions.
Bottom anti-reflective coatings (BARCs) are essential for achieving the 65-nm node resolution target by minimizing the substrate reflectivity to less than 1% and by planarizing substrates. We believe that the developments in 157-nm BARC products are on track to make them available for timely application in 157-nm lithography. We have made some significant improvements in resist compatibility and etch selectivity in relation to the latest available 157-nm resists.
Two chromophores having desired high light absorbance at the 157-nm wavelength have been identified. The prototype BARC formulations basically meet the critical requirements for workable 157-nm BARCs, including optical properties, thermal stability, photo-stability, etch rate and selectivity, and compatibility with photoresists. The BARCs also show good coating quality and stripping resistance. Another essential feature of the BARCs is that they are formulated in industry-accepted safe solvents. The lithographic profiles of a benchmarked 157-nm photoresist on our prototype BARC LH157B show straight 60-nm L/S patterns. LH157B also exhibited excellent lithography performance as an ArF BARC. Optimization of the BARC formulations is in progress.
The 70-nm technology node is projected to go into manufacturing production by late 2004. The most promising technology for the 70-nm technology node of semiconductor devices is 157-nm lithography. Although advances in developing 157-nm technology have been hampered by greater challenges than originally expected, considerable progress has been made. Great efforts have been made to improve the exposure tool, the laser, the resist materials, the resist processing, the mask materials, and bottom anti-reflective coatings (BARCs). BARCs are essential in achieving the 70-nm-node resolution target by minimizing the substrate reflectivity to less than 1% and planarizing substrates. This paper will describe the various design considerations for a workable 157-nm BARC, including optical constants, thermal stability, photo stability, etch rate and selectivity, resist compatibility, film conformality, coating quality, and lithography profile. It will demonstrate that to maintain less than 1% reflectance for a 157-nm BARC, the value of refractive index n (real) must be from 1.3 to 1.8 and that of k (imaginary) must be from 0.26 to 0.6, determined by Prolith modeling. The refractive index ranges are set as optical constant targets for the design of BARCs formulations. The photoresist profiles from 157-nm lithography utilizing our developed BARCs will also be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.